summaryrefslogtreecommitdiffstats
path: root/drivers/gpu/nvgpu/include/nvgpu/hw/gv11b/hw_gr_gv11b.h
blob: 051961d2887e48dbdfefd85f7f2ff1d255f8fa0f (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
4324
4325
4326
4327
4328
4329
4330
4331
4332
4333
4334
4335
4336
4337
4338
4339
4340
4341
4342
4343
4344
4345
4346
4347
4348
4349
4350
4351
4352
4353
4354
4355
4356
4357
4358
4359
4360
4361
4362
4363
4364
4365
4366
4367
4368
4369
4370
4371
4372
4373
4374
4375
4376
4377
4378
4379
4380
4381
4382
4383
4384
4385
4386
4387
4388
4389
4390
4391
4392
4393
4394
4395
4396
4397
4398
4399
4400
4401
4402
4403
4404
4405
4406
4407
4408
4409
4410
4411
4412
4413
4414
4415
4416
4417
4418
4419
4420
4421
4422
4423
4424
4425
4426
4427
4428
4429
4430
4431
4432
4433
4434
4435
4436
4437
4438
4439
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449
4450
4451
4452
4453
4454
4455
4456
4457
4458
4459
4460
4461
4462
4463
4464
4465
4466
4467
4468
4469
4470
4471
4472
4473
4474
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486
4487
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529
4530
4531
4532
4533
4534
4535
4536
4537
4538
4539
4540
4541
4542
4543
4544
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554
4555
4556
4557
4558
4559
4560
4561
4562
4563
4564
4565
4566
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594
4595
4596
4597
4598
4599
4600
4601
4602
4603
4604
4605
4606
4607
4608
4609
4610
4611
4612
4613
4614
4615
4616
4617
4618
4619
4620
4621
4622
4623
4624
4625
4626
4627
4628
4629
4630
4631
4632
4633
4634
4635
4636
4637
4638
4639
4640
4641
4642
4643
4644
4645
4646
4647
4648
4649
4650
4651
4652
4653
4654
4655
4656
4657
4658
4659
4660
4661
4662
4663
4664
4665
4666
4667
4668
4669
4670
4671
4672
4673
4674
4675
4676
4677
4678
4679
4680
4681
4682
4683
4684
4685
4686
4687
4688
4689
4690
4691
4692
4693
4694
4695
4696
4697
4698
4699
4700
4701
/*
 * Copyright (c) 2016-2017, NVIDIA CORPORATION.  All rights reserved.
 *
 * This program is free software; you can redistribute it and/or modify it
 * under the terms and conditions of the GNU General Public License,
 * version 2, as published by the Free Software Foundation.
 *
 * This program is distributed in the hope it will be useful, but WITHOUT
 * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
 * FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for
 * more details.
 *
 * You should have received a copy of the GNU General Public License
 * along with this program.  If not, see <http://www.gnu.org/licenses/>.
 */
/*
 * Function naming determines intended use:
 *
 *     <x>_r(void) : Returns the offset for register <x>.
 *
 *     <x>_o(void) : Returns the offset for element <x>.
 *
 *     <x>_w(void) : Returns the word offset for word (4 byte) element <x>.
 *
 *     <x>_<y>_s(void) : Returns size of field <y> of register <x> in bits.
 *
 *     <x>_<y>_f(u32 v) : Returns a value based on 'v' which has been shifted
 *         and masked to place it at field <y> of register <x>.  This value
 *         can be |'d with others to produce a full register value for
 *         register <x>.
 *
 *     <x>_<y>_m(void) : Returns a mask for field <y> of register <x>.  This
 *         value can be ~'d and then &'d to clear the value of field <y> for
 *         register <x>.
 *
 *     <x>_<y>_<z>_f(void) : Returns the constant value <z> after being shifted
 *         to place it at field <y> of register <x>.  This value can be |'d
 *         with others to produce a full register value for <x>.
 *
 *     <x>_<y>_v(u32 r) : Returns the value of field <y> from a full register
 *         <x> value 'r' after being shifted to place its LSB at bit 0.
 *         This value is suitable for direct comparison with other unshifted
 *         values appropriate for use in field <y> of register <x>.
 *
 *     <x>_<y>_<z>_v(void) : Returns the constant value for <z> defined for
 *         field <y> of register <x>.  This value is suitable for direct
 *         comparison with unshifted values appropriate for use in field <y>
 *         of register <x>.
 */
#ifndef _hw_gr_gv11b_h_
#define _hw_gr_gv11b_h_

static inline u32 gr_intr_r(void)
{
	return 0x00400100;
}
static inline u32 gr_intr_notify_pending_f(void)
{
	return 0x1;
}
static inline u32 gr_intr_notify_reset_f(void)
{
	return 0x1;
}
static inline u32 gr_intr_semaphore_pending_f(void)
{
	return 0x2;
}
static inline u32 gr_intr_semaphore_reset_f(void)
{
	return 0x2;
}
static inline u32 gr_intr_illegal_method_pending_f(void)
{
	return 0x10;
}
static inline u32 gr_intr_illegal_method_reset_f(void)
{
	return 0x10;
}
static inline u32 gr_intr_illegal_notify_pending_f(void)
{
	return 0x40;
}
static inline u32 gr_intr_illegal_notify_reset_f(void)
{
	return 0x40;
}
static inline u32 gr_intr_firmware_method_f(u32 v)
{
	return (v & 0x1) << 8;
}
static inline u32 gr_intr_firmware_method_pending_f(void)
{
	return 0x100;
}
static inline u32 gr_intr_firmware_method_reset_f(void)
{
	return 0x100;
}
static inline u32 gr_intr_illegal_class_pending_f(void)
{
	return 0x20;
}
static inline u32 gr_intr_illegal_class_reset_f(void)
{
	return 0x20;
}
static inline u32 gr_intr_fecs_error_pending_f(void)
{
	return 0x80000;
}
static inline u32 gr_intr_fecs_error_reset_f(void)
{
	return 0x80000;
}
static inline u32 gr_intr_class_error_pending_f(void)
{
	return 0x100000;
}
static inline u32 gr_intr_class_error_reset_f(void)
{
	return 0x100000;
}
static inline u32 gr_intr_exception_pending_f(void)
{
	return 0x200000;
}
static inline u32 gr_intr_exception_reset_f(void)
{
	return 0x200000;
}
static inline u32 gr_fecs_intr_r(void)
{
	return 0x00400144;
}
static inline u32 gr_class_error_r(void)
{
	return 0x00400110;
}
static inline u32 gr_class_error_code_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_intr_nonstall_r(void)
{
	return 0x00400120;
}
static inline u32 gr_intr_nonstall_trap_pending_f(void)
{
	return 0x2;
}
static inline u32 gr_intr_en_r(void)
{
	return 0x0040013c;
}
static inline u32 gr_exception_r(void)
{
	return 0x00400108;
}
static inline u32 gr_exception_fe_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_exception_gpc_m(void)
{
	return 0x1 << 24;
}
static inline u32 gr_exception_memfmt_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_exception_ds_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_exception_sked_m(void)
{
	return 0x1 << 8;
}
static inline u32 gr_exception1_r(void)
{
	return 0x00400118;
}
static inline u32 gr_exception1_gpc_0_pending_f(void)
{
	return 0x1;
}
static inline u32 gr_exception2_r(void)
{
	return 0x0040011c;
}
static inline u32 gr_exception_en_r(void)
{
	return 0x00400138;
}
static inline u32 gr_exception_en_fe_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_exception_en_fe_enabled_f(void)
{
	return 0x1;
}
static inline u32 gr_exception_en_gpc_m(void)
{
	return 0x1 << 24;
}
static inline u32 gr_exception_en_gpc_enabled_f(void)
{
	return 0x1000000;
}
static inline u32 gr_exception_en_memfmt_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_exception_en_memfmt_enabled_f(void)
{
	return 0x2;
}
static inline u32 gr_exception_en_ds_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_exception_en_ds_enabled_f(void)
{
	return 0x10;
}
static inline u32 gr_exception1_en_r(void)
{
	return 0x00400130;
}
static inline u32 gr_exception2_en_r(void)
{
	return 0x00400134;
}
static inline u32 gr_gpfifo_ctl_r(void)
{
	return 0x00400500;
}
static inline u32 gr_gpfifo_ctl_access_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpfifo_ctl_access_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_gpfifo_ctl_access_enabled_f(void)
{
	return 0x1;
}
static inline u32 gr_gpfifo_ctl_semaphore_access_f(u32 v)
{
	return (v & 0x1) << 16;
}
static inline u32 gr_gpfifo_ctl_semaphore_access_enabled_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpfifo_ctl_semaphore_access_enabled_f(void)
{
	return 0x10000;
}
static inline u32 gr_gpfifo_status_r(void)
{
	return 0x00400504;
}
static inline u32 gr_trapped_addr_r(void)
{
	return 0x00400704;
}
static inline u32 gr_trapped_addr_mthd_v(u32 r)
{
	return (r >> 2) & 0xfff;
}
static inline u32 gr_trapped_addr_subch_v(u32 r)
{
	return (r >> 16) & 0x7;
}
static inline u32 gr_trapped_data_lo_r(void)
{
	return 0x00400708;
}
static inline u32 gr_trapped_data_hi_r(void)
{
	return 0x0040070c;
}
static inline u32 gr_status_r(void)
{
	return 0x00400700;
}
static inline u32 gr_status_fe_method_upper_v(u32 r)
{
	return (r >> 1) & 0x1;
}
static inline u32 gr_status_fe_method_lower_v(u32 r)
{
	return (r >> 2) & 0x1;
}
static inline u32 gr_status_fe_method_lower_idle_v(void)
{
	return 0x00000000;
}
static inline u32 gr_status_fe_gi_v(u32 r)
{
	return (r >> 21) & 0x1;
}
static inline u32 gr_status_mask_r(void)
{
	return 0x00400610;
}
static inline u32 gr_status_1_r(void)
{
	return 0x00400604;
}
static inline u32 gr_status_2_r(void)
{
	return 0x00400608;
}
static inline u32 gr_engine_status_r(void)
{
	return 0x0040060c;
}
static inline u32 gr_engine_status_value_busy_f(void)
{
	return 0x1;
}
static inline u32 gr_pri_be0_becs_be_exception_r(void)
{
	return 0x00410204;
}
static inline u32 gr_pri_be0_becs_be_exception_en_r(void)
{
	return 0x00410208;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_exception_r(void)
{
	return 0x00502c90;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_exception_en_r(void)
{
	return 0x00502c94;
}
static inline u32 gr_pri_gpc0_tpc0_tpccs_tpc_exception_r(void)
{
	return 0x00504508;
}
static inline u32 gr_pri_gpc0_tpc0_tpccs_tpc_exception_en_r(void)
{
	return 0x0050450c;
}
static inline u32 gr_activity_0_r(void)
{
	return 0x00400380;
}
static inline u32 gr_activity_1_r(void)
{
	return 0x00400384;
}
static inline u32 gr_activity_2_r(void)
{
	return 0x00400388;
}
static inline u32 gr_activity_4_r(void)
{
	return 0x00400390;
}
static inline u32 gr_activity_4_gpc0_s(void)
{
	return 3;
}
static inline u32 gr_activity_4_gpc0_f(u32 v)
{
	return (v & 0x7) << 0;
}
static inline u32 gr_activity_4_gpc0_m(void)
{
	return 0x7 << 0;
}
static inline u32 gr_activity_4_gpc0_v(u32 r)
{
	return (r >> 0) & 0x7;
}
static inline u32 gr_activity_4_gpc0_empty_v(void)
{
	return 0x00000000;
}
static inline u32 gr_activity_4_gpc0_preempted_v(void)
{
	return 0x00000004;
}
static inline u32 gr_pri_gpc0_gcc_dbg_r(void)
{
	return 0x00501000;
}
static inline u32 gr_pri_gpcs_gcc_dbg_r(void)
{
	return 0x00419000;
}
static inline u32 gr_pri_gpcs_gcc_dbg_invalidate_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cache_control_r(void)
{
	return 0x0050433c;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cache_control_r(void)
{
	return 0x00419b3c;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cache_control_invalidate_cache_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_sked_activity_r(void)
{
	return 0x00407054;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity0_r(void)
{
	return 0x00502c80;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity1_r(void)
{
	return 0x00502c84;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity2_r(void)
{
	return 0x00502c88;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity3_r(void)
{
	return 0x00502c8c;
}
static inline u32 gr_pri_gpc0_tpc0_tpccs_tpc_activity_0_r(void)
{
	return 0x00504500;
}
static inline u32 gr_pri_gpc0_tpc1_tpccs_tpc_activity_0_r(void)
{
	return 0x00504d00;
}
static inline u32 gr_pri_gpc0_tpcs_tpccs_tpc_activity_0_r(void)
{
	return 0x00501d00;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_0_r(void)
{
	return 0x0041ac80;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_1_r(void)
{
	return 0x0041ac84;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_2_r(void)
{
	return 0x0041ac88;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_3_r(void)
{
	return 0x0041ac8c;
}
static inline u32 gr_pri_gpcs_tpc0_tpccs_tpc_activity_0_r(void)
{
	return 0x0041c500;
}
static inline u32 gr_pri_gpcs_tpc1_tpccs_tpc_activity_0_r(void)
{
	return 0x0041cd00;
}
static inline u32 gr_pri_gpcs_tpcs_tpccs_tpc_activity_0_r(void)
{
	return 0x00419d00;
}
static inline u32 gr_pri_be0_becs_be_activity0_r(void)
{
	return 0x00410200;
}
static inline u32 gr_pri_be1_becs_be_activity0_r(void)
{
	return 0x00410600;
}
static inline u32 gr_pri_bes_becs_be_activity0_r(void)
{
	return 0x00408a00;
}
static inline u32 gr_pri_ds_mpipe_status_r(void)
{
	return 0x00405858;
}
static inline u32 gr_pri_fe_go_idle_info_r(void)
{
	return 0x00404194;
}
static inline u32 gr_pri_fe_chip_def_info_r(void)
{
	return 0x00404030;
}
static inline u32 gr_pri_fe_chip_def_info_max_veid_count_v(u32 r)
{
	return (r >> 0) & 0xfff;
}
static inline u32 gr_pri_fe_chip_def_info_max_veid_count_init_v(void)
{
	return 0x00000040;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_tex_subunits_status_r(void)
{
	return 0x00504238;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_r(void)
{
	return 0x00504358;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp0_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp1_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp2_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp3_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp4_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp5_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp6_m(void)
{
	return 0x1 << 6;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp7_m(void)
{
	return 0x1 << 7;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp0_m(void)
{
	return 0x1 << 8;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp1_m(void)
{
	return 0x1 << 9;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp2_m(void)
{
	return 0x1 << 10;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp3_m(void)
{
	return 0x1 << 11;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp4_m(void)
{
	return 0x1 << 12;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp5_m(void)
{
	return 0x1 << 13;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp6_m(void)
{
	return 0x1 << 14;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp7_m(void)
{
	return 0x1 << 15;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 24) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 26) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_corrected_err_count_r(void)
{
	return 0x0050435c;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_uncorrected_err_count_r(void)
{
	return 0x00504360;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_r(void)
{
	return 0x0050436c;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_corrected_err_el1_0_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_corrected_err_el1_1_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_uncorrected_err_el1_0_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_uncorrected_err_el1_1_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 8) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 10) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_corrected_err_count_r(void)
{
	return 0x00504370;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_uncorrected_err_count_r(void)
{
	return 0x00504374;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_r(void)
{
	return 0x0050464c;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l0_data_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l0_predecode_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l1_data_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l1_predecode_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l0_data_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l0_predecode_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l1_data_m(void)
{
	return 0x1 << 6;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l1_predecode_m(void)
{
	return 0x1 << 7;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 16) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 18) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_corrected_err_count_r(void)
{
	return 0x00504650;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_uncorrected_err_count_r(void)
{
	return 0x00504654;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_r(void)
{
	return 0x00504624;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_el1_0_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_el1_1_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_el1_0_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_el1_1_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_pixrpf_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_miss_fifo_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_pixrpf_m(void)
{
	return 0x1 << 6;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_miss_fifo_m(void)
{
	return 0x1 << 7;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 8) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 10) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_corrected_err_count_r(void)
{
	return 0x00504628;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_uncorrected_err_count_r(void)
{
	return 0x0050462c;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_r(void)
{
	return 0x00504638;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_warp_sm0_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_warp_sm1_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_barrier_sm0_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_barrier_sm1_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_warp_sm0_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_warp_sm1_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_barrier_sm0_m(void)
{
	return 0x1 << 6;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_barrier_sm1_m(void)
{
	return 0x1 << 7;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 16) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 18) & 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_corrected_err_count_r(void)
{
	return 0x0050463c;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_uncorrected_err_count_r(void)
{
	return 0x00504640;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_r(void)
{
	return 0x005042c4;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_sel_default_f(void)
{
	return 0x0;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_sel_pipe0_f(void)
{
	return 0x1;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_sel_pipe1_f(void)
{
	return 0x2;
}
static inline u32 gr_pri_be0_crop_status1_r(void)
{
	return 0x00410134;
}
static inline u32 gr_pri_bes_crop_status1_r(void)
{
	return 0x00408934;
}
static inline u32 gr_pri_be0_zrop_status_r(void)
{
	return 0x00410048;
}
static inline u32 gr_pri_be0_zrop_status2_r(void)
{
	return 0x0041004c;
}
static inline u32 gr_pri_bes_zrop_status_r(void)
{
	return 0x00408848;
}
static inline u32 gr_pri_bes_zrop_status2_r(void)
{
	return 0x0040884c;
}
static inline u32 gr_pipe_bundle_address_r(void)
{
	return 0x00400200;
}
static inline u32 gr_pipe_bundle_address_value_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pipe_bundle_address_veid_f(u32 v)
{
	return (v & 0x3f) << 20;
}
static inline u32 gr_pipe_bundle_address_veid_w(void)
{
	return 0;
}
static inline u32 gr_pipe_bundle_data_r(void)
{
	return 0x00400204;
}
static inline u32 gr_pipe_bundle_config_r(void)
{
	return 0x00400208;
}
static inline u32 gr_pipe_bundle_config_override_pipe_mode_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_pipe_bundle_config_override_pipe_mode_enabled_f(void)
{
	return 0x80000000;
}
static inline u32 gr_fe_hww_esr_r(void)
{
	return 0x00404000;
}
static inline u32 gr_fe_hww_esr_reset_active_f(void)
{
	return 0x40000000;
}
static inline u32 gr_fe_hww_esr_en_enable_f(void)
{
	return 0x80000000;
}
static inline u32 gr_gpcs_tpcs_sms_hww_global_esr_report_mask_r(void)
{
	return 0x00419eac;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_r(void)
{
	return 0x0050472c;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_multiple_warp_errors_report_f(void)
{
	return 0x4;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_bpt_int_report_f(void)
{
	return 0x10;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_bpt_pause_report_f(void)
{
	return 0x20;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_single_step_complete_report_f(void)
{
	return 0x40;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_error_in_trap_report_f(void)
{
	return 0x100;
}
static inline u32 gr_gpcs_tpcs_sms_hww_global_esr_r(void)
{
	return 0x00419eb4;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_r(void)
{
	return 0x00504734;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_int_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_int_pending_f(void)
{
	return 0x10;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_pause_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_pause_pending_f(void)
{
	return 0x20;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_single_step_complete_m(void)
{
	return 0x1 << 6;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_single_step_complete_pending_f(void)
{
	return 0x40;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_multiple_warp_errors_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_multiple_warp_errors_pending_f(void)
{
	return 0x4;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_error_in_trap_m(void)
{
	return 0x1 << 8;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_error_in_trap_pending_f(void)
{
	return 0x100;
}
static inline u32 gr_fe_go_idle_timeout_r(void)
{
	return 0x00404154;
}
static inline u32 gr_fe_go_idle_timeout_count_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_fe_go_idle_timeout_count_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fe_go_idle_timeout_count_prod_f(void)
{
	return 0x1800;
}
static inline u32 gr_fe_object_table_r(u32 i)
{
	return 0x00404200 + i*4;
}
static inline u32 gr_fe_object_table_nvclass_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_fe_tpc_fs_r(u32 i)
{
	return 0x0040a200 + i*4;
}
static inline u32 gr_pri_mme_shadow_raw_index_r(void)
{
	return 0x00404488;
}
static inline u32 gr_pri_mme_shadow_raw_index_write_trigger_f(void)
{
	return 0x80000000;
}
static inline u32 gr_pri_mme_shadow_raw_data_r(void)
{
	return 0x0040448c;
}
static inline u32 gr_mme_hww_esr_r(void)
{
	return 0x00404490;
}
static inline u32 gr_mme_hww_esr_reset_active_f(void)
{
	return 0x40000000;
}
static inline u32 gr_mme_hww_esr_en_enable_f(void)
{
	return 0x80000000;
}
static inline u32 gr_memfmt_hww_esr_r(void)
{
	return 0x00404600;
}
static inline u32 gr_memfmt_hww_esr_reset_active_f(void)
{
	return 0x40000000;
}
static inline u32 gr_memfmt_hww_esr_en_enable_f(void)
{
	return 0x80000000;
}
static inline u32 gr_fecs_cpuctl_r(void)
{
	return 0x00409100;
}
static inline u32 gr_fecs_cpuctl_startcpu_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_fecs_cpuctl_alias_r(void)
{
	return 0x00409130;
}
static inline u32 gr_fecs_cpuctl_alias_startcpu_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_fecs_dmactl_r(void)
{
	return 0x0040910c;
}
static inline u32 gr_fecs_dmactl_require_ctx_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_fecs_dmactl_dmem_scrubbing_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_fecs_dmactl_imem_scrubbing_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_fecs_os_r(void)
{
	return 0x00409080;
}
static inline u32 gr_fecs_idlestate_r(void)
{
	return 0x0040904c;
}
static inline u32 gr_fecs_mailbox0_r(void)
{
	return 0x00409040;
}
static inline u32 gr_fecs_mailbox1_r(void)
{
	return 0x00409044;
}
static inline u32 gr_fecs_irqstat_r(void)
{
	return 0x00409008;
}
static inline u32 gr_fecs_irqmode_r(void)
{
	return 0x0040900c;
}
static inline u32 gr_fecs_irqmask_r(void)
{
	return 0x00409018;
}
static inline u32 gr_fecs_irqdest_r(void)
{
	return 0x0040901c;
}
static inline u32 gr_fecs_curctx_r(void)
{
	return 0x00409050;
}
static inline u32 gr_fecs_nxtctx_r(void)
{
	return 0x00409054;
}
static inline u32 gr_fecs_engctl_r(void)
{
	return 0x004090a4;
}
static inline u32 gr_fecs_debug1_r(void)
{
	return 0x00409090;
}
static inline u32 gr_fecs_debuginfo_r(void)
{
	return 0x00409094;
}
static inline u32 gr_fecs_icd_cmd_r(void)
{
	return 0x00409200;
}
static inline u32 gr_fecs_icd_cmd_opc_s(void)
{
	return 4;
}
static inline u32 gr_fecs_icd_cmd_opc_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_fecs_icd_cmd_opc_m(void)
{
	return 0xf << 0;
}
static inline u32 gr_fecs_icd_cmd_opc_v(u32 r)
{
	return (r >> 0) & 0xf;
}
static inline u32 gr_fecs_icd_cmd_opc_rreg_f(void)
{
	return 0x8;
}
static inline u32 gr_fecs_icd_cmd_opc_rstat_f(void)
{
	return 0xe;
}
static inline u32 gr_fecs_icd_cmd_idx_f(u32 v)
{
	return (v & 0x1f) << 8;
}
static inline u32 gr_fecs_icd_rdata_r(void)
{
	return 0x0040920c;
}
static inline u32 gr_fecs_imemc_r(u32 i)
{
	return 0x00409180 + i*16;
}
static inline u32 gr_fecs_imemc_offs_f(u32 v)
{
	return (v & 0x3f) << 2;
}
static inline u32 gr_fecs_imemc_blk_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_fecs_imemc_aincw_f(u32 v)
{
	return (v & 0x1) << 24;
}
static inline u32 gr_fecs_imemd_r(u32 i)
{
	return 0x00409184 + i*16;
}
static inline u32 gr_fecs_imemt_r(u32 i)
{
	return 0x00409188 + i*16;
}
static inline u32 gr_fecs_imemt_tag_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_fecs_dmemc_r(u32 i)
{
	return 0x004091c0 + i*8;
}
static inline u32 gr_fecs_dmemc_offs_s(void)
{
	return 6;
}
static inline u32 gr_fecs_dmemc_offs_f(u32 v)
{
	return (v & 0x3f) << 2;
}
static inline u32 gr_fecs_dmemc_offs_m(void)
{
	return 0x3f << 2;
}
static inline u32 gr_fecs_dmemc_offs_v(u32 r)
{
	return (r >> 2) & 0x3f;
}
static inline u32 gr_fecs_dmemc_blk_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_fecs_dmemc_aincw_f(u32 v)
{
	return (v & 0x1) << 24;
}
static inline u32 gr_fecs_dmemd_r(u32 i)
{
	return 0x004091c4 + i*8;
}
static inline u32 gr_fecs_dmatrfbase_r(void)
{
	return 0x00409110;
}
static inline u32 gr_fecs_dmatrfmoffs_r(void)
{
	return 0x00409114;
}
static inline u32 gr_fecs_dmatrffboffs_r(void)
{
	return 0x0040911c;
}
static inline u32 gr_fecs_dmatrfcmd_r(void)
{
	return 0x00409118;
}
static inline u32 gr_fecs_dmatrfcmd_imem_f(u32 v)
{
	return (v & 0x1) << 4;
}
static inline u32 gr_fecs_dmatrfcmd_write_f(u32 v)
{
	return (v & 0x1) << 5;
}
static inline u32 gr_fecs_dmatrfcmd_size_f(u32 v)
{
	return (v & 0x7) << 8;
}
static inline u32 gr_fecs_dmatrfcmd_ctxdma_f(u32 v)
{
	return (v & 0x7) << 12;
}
static inline u32 gr_fecs_bootvec_r(void)
{
	return 0x00409104;
}
static inline u32 gr_fecs_bootvec_vec_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_fecs_falcon_hwcfg_r(void)
{
	return 0x00409108;
}
static inline u32 gr_gpcs_gpccs_falcon_hwcfg_r(void)
{
	return 0x0041a108;
}
static inline u32 gr_fecs_falcon_rm_r(void)
{
	return 0x00409084;
}
static inline u32 gr_fecs_current_ctx_r(void)
{
	return 0x00409b00;
}
static inline u32 gr_fecs_current_ctx_ptr_f(u32 v)
{
	return (v & 0xfffffff) << 0;
}
static inline u32 gr_fecs_current_ctx_ptr_v(u32 r)
{
	return (r >> 0) & 0xfffffff;
}
static inline u32 gr_fecs_current_ctx_target_s(void)
{
	return 2;
}
static inline u32 gr_fecs_current_ctx_target_f(u32 v)
{
	return (v & 0x3) << 28;
}
static inline u32 gr_fecs_current_ctx_target_m(void)
{
	return 0x3 << 28;
}
static inline u32 gr_fecs_current_ctx_target_v(u32 r)
{
	return (r >> 28) & 0x3;
}
static inline u32 gr_fecs_current_ctx_target_vid_mem_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_current_ctx_target_sys_mem_coh_f(void)
{
	return 0x20000000;
}
static inline u32 gr_fecs_current_ctx_target_sys_mem_ncoh_f(void)
{
	return 0x30000000;
}
static inline u32 gr_fecs_current_ctx_valid_s(void)
{
	return 1;
}
static inline u32 gr_fecs_current_ctx_valid_f(u32 v)
{
	return (v & 0x1) << 31;
}
static inline u32 gr_fecs_current_ctx_valid_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_fecs_current_ctx_valid_v(u32 r)
{
	return (r >> 31) & 0x1;
}
static inline u32 gr_fecs_current_ctx_valid_false_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_method_data_r(void)
{
	return 0x00409500;
}
static inline u32 gr_fecs_method_push_r(void)
{
	return 0x00409504;
}
static inline u32 gr_fecs_method_push_adr_f(u32 v)
{
	return (v & 0xfff) << 0;
}
static inline u32 gr_fecs_method_push_adr_bind_pointer_v(void)
{
	return 0x00000003;
}
static inline u32 gr_fecs_method_push_adr_bind_pointer_f(void)
{
	return 0x3;
}
static inline u32 gr_fecs_method_push_adr_discover_image_size_v(void)
{
	return 0x00000010;
}
static inline u32 gr_fecs_method_push_adr_wfi_golden_save_v(void)
{
	return 0x00000009;
}
static inline u32 gr_fecs_method_push_adr_restore_golden_v(void)
{
	return 0x00000015;
}
static inline u32 gr_fecs_method_push_adr_discover_zcull_image_size_v(void)
{
	return 0x00000016;
}
static inline u32 gr_fecs_method_push_adr_discover_pm_image_size_v(void)
{
	return 0x00000025;
}
static inline u32 gr_fecs_method_push_adr_discover_reglist_image_size_v(void)
{
	return 0x00000030;
}
static inline u32 gr_fecs_method_push_adr_set_reglist_bind_instance_v(void)
{
	return 0x00000031;
}
static inline u32 gr_fecs_method_push_adr_set_reglist_virtual_address_v(void)
{
	return 0x00000032;
}
static inline u32 gr_fecs_method_push_adr_stop_ctxsw_v(void)
{
	return 0x00000038;
}
static inline u32 gr_fecs_method_push_adr_start_ctxsw_v(void)
{
	return 0x00000039;
}
static inline u32 gr_fecs_method_push_adr_set_watchdog_timeout_f(void)
{
	return 0x21;
}
static inline u32 gr_fecs_method_push_adr_discover_preemption_image_size_v(void)
{
	return 0x0000001a;
}
static inline u32 gr_fecs_method_push_adr_halt_pipeline_v(void)
{
	return 0x00000004;
}
static inline u32 gr_fecs_method_push_adr_configure_interrupt_completion_option_v(void)
{
	return 0x0000003a;
}
static inline u32 gr_fecs_host_int_status_r(void)
{
	return 0x00409c18;
}
static inline u32 gr_fecs_host_int_status_fault_during_ctxsw_f(u32 v)
{
	return (v & 0x1) << 16;
}
static inline u32 gr_fecs_host_int_status_umimp_firmware_method_f(u32 v)
{
	return (v & 0x1) << 17;
}
static inline u32 gr_fecs_host_int_status_umimp_illegal_method_f(u32 v)
{
	return (v & 0x1) << 18;
}
static inline u32 gr_fecs_host_int_status_ctxsw_intr_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_fecs_host_int_status_ecc_corrected_f(u32 v)
{
	return (v & 0x1) << 21;
}
static inline u32 gr_fecs_host_int_status_ecc_corrected_m(void)
{
	return 0x1 << 21;
}
static inline u32 gr_fecs_host_int_status_ecc_uncorrected_f(u32 v)
{
	return (v & 0x1) << 22;
}
static inline u32 gr_fecs_host_int_status_ecc_uncorrected_m(void)
{
	return 0x1 << 22;
}
static inline u32 gr_fecs_host_int_clear_r(void)
{
	return 0x00409c20;
}
static inline u32 gr_fecs_host_int_clear_ctxsw_intr1_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_fecs_host_int_clear_ctxsw_intr1_clear_f(void)
{
	return 0x2;
}
static inline u32 gr_fecs_host_int_enable_r(void)
{
	return 0x00409c24;
}
static inline u32 gr_fecs_host_int_enable_ctxsw_intr1_enable_f(void)
{
	return 0x2;
}
static inline u32 gr_fecs_host_int_enable_fault_during_ctxsw_enable_f(void)
{
	return 0x10000;
}
static inline u32 gr_fecs_host_int_enable_umimp_firmware_method_enable_f(void)
{
	return 0x20000;
}
static inline u32 gr_fecs_host_int_enable_umimp_illegal_method_enable_f(void)
{
	return 0x40000;
}
static inline u32 gr_fecs_host_int_enable_watchdog_enable_f(void)
{
	return 0x80000;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_r(void)
{
	return 0x00409614;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_halt_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_halt_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_halt_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_engine_reset_disabled_f(void)
{
	return 0x10;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_engine_reset_disabled_f(void)
{
	return 0x20;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_engine_reset_disabled_f(void)
{
	return 0x40;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_context_reset_enabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_context_reset_disabled_f(void)
{
	return 0x100;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_context_reset_enabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_context_reset_disabled_f(void)
{
	return 0x200;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_s(void)
{
	return 1;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_f(u32 v)
{
	return (v & 0x1) << 10;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_m(void)
{
	return 0x1 << 10;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_v(u32 r)
{
	return (r >> 10) & 0x1;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_enabled_f(void)
{
	return 0x0;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_disabled_f(void)
{
	return 0x400;
}
static inline u32 gr_fecs_ctx_state_store_major_rev_id_r(void)
{
	return 0x0040960c;
}
static inline u32 gr_fecs_ctxsw_mailbox_r(u32 i)
{
	return 0x00409800 + i*4;
}
static inline u32 gr_fecs_ctxsw_mailbox__size_1_v(void)
{
	return 0x00000010;
}
static inline u32 gr_fecs_ctxsw_mailbox_value_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_fecs_ctxsw_mailbox_value_pass_v(void)
{
	return 0x00000001;
}
static inline u32 gr_fecs_ctxsw_mailbox_value_fail_v(void)
{
	return 0x00000002;
}
static inline u32 gr_fecs_ctxsw_mailbox_set_r(u32 i)
{
	return 0x004098c0 + i*4;
}
static inline u32 gr_fecs_ctxsw_mailbox_set_value_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_fecs_ctxsw_mailbox_clear_r(u32 i)
{
	return 0x00409840 + i*4;
}
static inline u32 gr_fecs_ctxsw_mailbox_clear_value_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_fecs_fs_r(void)
{
	return 0x00409604;
}
static inline u32 gr_fecs_fs_num_available_gpcs_s(void)
{
	return 5;
}
static inline u32 gr_fecs_fs_num_available_gpcs_f(u32 v)
{
	return (v & 0x1f) << 0;
}
static inline u32 gr_fecs_fs_num_available_gpcs_m(void)
{
	return 0x1f << 0;
}
static inline u32 gr_fecs_fs_num_available_gpcs_v(u32 r)
{
	return (r >> 0) & 0x1f;
}
static inline u32 gr_fecs_fs_num_available_fbps_s(void)
{
	return 5;
}
static inline u32 gr_fecs_fs_num_available_fbps_f(u32 v)
{
	return (v & 0x1f) << 16;
}
static inline u32 gr_fecs_fs_num_available_fbps_m(void)
{
	return 0x1f << 16;
}
static inline u32 gr_fecs_fs_num_available_fbps_v(u32 r)
{
	return (r >> 16) & 0x1f;
}
static inline u32 gr_fecs_cfg_r(void)
{
	return 0x00409620;
}
static inline u32 gr_fecs_cfg_imem_sz_v(u32 r)
{
	return (r >> 0) & 0xff;
}
static inline u32 gr_fecs_rc_lanes_r(void)
{
	return 0x00409880;
}
static inline u32 gr_fecs_rc_lanes_num_chains_s(void)
{
	return 6;
}
static inline u32 gr_fecs_rc_lanes_num_chains_f(u32 v)
{
	return (v & 0x3f) << 0;
}
static inline u32 gr_fecs_rc_lanes_num_chains_m(void)
{
	return 0x3f << 0;
}
static inline u32 gr_fecs_rc_lanes_num_chains_v(u32 r)
{
	return (r >> 0) & 0x3f;
}
static inline u32 gr_fecs_ctxsw_status_1_r(void)
{
	return 0x00409400;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_s(void)
{
	return 1;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_f(u32 v)
{
	return (v & 0x1) << 12;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_m(void)
{
	return 0x1 << 12;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_v(u32 r)
{
	return (r >> 12) & 0x1;
}
static inline u32 gr_fecs_arb_ctx_adr_r(void)
{
	return 0x00409a24;
}
static inline u32 gr_fecs_new_ctx_r(void)
{
	return 0x00409b04;
}
static inline u32 gr_fecs_new_ctx_ptr_s(void)
{
	return 28;
}
static inline u32 gr_fecs_new_ctx_ptr_f(u32 v)
{
	return (v & 0xfffffff) << 0;
}
static inline u32 gr_fecs_new_ctx_ptr_m(void)
{
	return 0xfffffff << 0;
}
static inline u32 gr_fecs_new_ctx_ptr_v(u32 r)
{
	return (r >> 0) & 0xfffffff;
}
static inline u32 gr_fecs_new_ctx_target_s(void)
{
	return 2;
}
static inline u32 gr_fecs_new_ctx_target_f(u32 v)
{
	return (v & 0x3) << 28;
}
static inline u32 gr_fecs_new_ctx_target_m(void)
{
	return 0x3 << 28;
}
static inline u32 gr_fecs_new_ctx_target_v(u32 r)
{
	return (r >> 28) & 0x3;
}
static inline u32 gr_fecs_new_ctx_valid_s(void)
{
	return 1;
}
static inline u32 gr_fecs_new_ctx_valid_f(u32 v)
{
	return (v & 0x1) << 31;
}
static inline u32 gr_fecs_new_ctx_valid_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_fecs_new_ctx_valid_v(u32 r)
{
	return (r >> 31) & 0x1;
}
static inline u32 gr_fecs_arb_ctx_ptr_r(void)
{
	return 0x00409a0c;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_s(void)
{
	return 28;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_f(u32 v)
{
	return (v & 0xfffffff) << 0;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_m(void)
{
	return 0xfffffff << 0;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_v(u32 r)
{
	return (r >> 0) & 0xfffffff;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_s(void)
{
	return 2;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_f(u32 v)
{
	return (v & 0x3) << 28;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_m(void)
{
	return 0x3 << 28;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_v(u32 r)
{
	return (r >> 28) & 0x3;
}
static inline u32 gr_fecs_arb_ctx_cmd_r(void)
{
	return 0x00409a10;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_s(void)
{
	return 5;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_f(u32 v)
{
	return (v & 0x1f) << 0;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_m(void)
{
	return 0x1f << 0;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_v(u32 r)
{
	return (r >> 0) & 0x1f;
}
static inline u32 gr_fecs_ctxsw_status_fe_0_r(void)
{
	return 0x00409c00;
}
static inline u32 gr_gpc0_gpccs_ctxsw_status_gpc_0_r(void)
{
	return 0x00502c04;
}
static inline u32 gr_gpc0_gpccs_ctxsw_status_1_r(void)
{
	return 0x00502400;
}
static inline u32 gr_fecs_ctxsw_idlestate_r(void)
{
	return 0x00409420;
}
static inline u32 gr_fecs_feature_override_ecc_r(void)
{
	return 0x00409658;
}
static inline u32 gr_fecs_feature_override_ecc_sm_lrf_override_v(u32 r)
{
	return (r >> 3) & 0x1;
}
static inline u32 gr_fecs_feature_override_ecc_ltc_override_v(u32 r)
{
	return (r >> 15) & 0x1;
}
static inline u32 gr_fecs_feature_override_ecc_sm_lrf_v(u32 r)
{
	return (r >> 0) & 0x1;
}
static inline u32 gr_fecs_feature_override_ecc_ltc_v(u32 r)
{
	return (r >> 12) & 0x1;
}
static inline u32 gr_gpc0_gpccs_ctxsw_idlestate_r(void)
{
	return 0x00502420;
}
static inline u32 gr_rstr2d_gpc_map_r(u32 i)
{
	return 0x0040780c + i*4;
}
static inline u32 gr_rstr2d_map_table_cfg_r(void)
{
	return 0x004078bc;
}
static inline u32 gr_rstr2d_map_table_cfg_row_offset_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_rstr2d_map_table_cfg_num_entries_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_pd_hww_esr_r(void)
{
	return 0x00406018;
}
static inline u32 gr_pd_hww_esr_reset_active_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pd_hww_esr_en_enable_f(void)
{
	return 0x80000000;
}
static inline u32 gr_pd_num_tpc_per_gpc_r(u32 i)
{
	return 0x00406028 + i*4;
}
static inline u32 gr_pd_num_tpc_per_gpc__size_1_v(void)
{
	return 0x00000004;
}
static inline u32 gr_pd_num_tpc_per_gpc_count0_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_pd_num_tpc_per_gpc_count1_f(u32 v)
{
	return (v & 0xf) << 4;
}
static inline u32 gr_pd_num_tpc_per_gpc_count2_f(u32 v)
{
	return (v & 0xf) << 8;
}
static inline u32 gr_pd_num_tpc_per_gpc_count3_f(u32 v)
{
	return (v & 0xf) << 12;
}
static inline u32 gr_pd_num_tpc_per_gpc_count4_f(u32 v)
{
	return (v & 0xf) << 16;
}
static inline u32 gr_pd_num_tpc_per_gpc_count5_f(u32 v)
{
	return (v & 0xf) << 20;
}
static inline u32 gr_pd_num_tpc_per_gpc_count6_f(u32 v)
{
	return (v & 0xf) << 24;
}
static inline u32 gr_pd_num_tpc_per_gpc_count7_f(u32 v)
{
	return (v & 0xf) << 28;
}
static inline u32 gr_pd_ab_dist_cfg0_r(void)
{
	return 0x004064c0;
}
static inline u32 gr_pd_ab_dist_cfg0_timeslice_enable_en_f(void)
{
	return 0x80000000;
}
static inline u32 gr_pd_ab_dist_cfg0_timeslice_enable_dis_f(void)
{
	return 0x0;
}
static inline u32 gr_pd_ab_dist_cfg1_r(void)
{
	return 0x004064c4;
}
static inline u32 gr_pd_ab_dist_cfg1_max_batches_init_f(void)
{
	return 0xffff;
}
static inline u32 gr_pd_ab_dist_cfg1_max_output_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_pd_ab_dist_cfg1_max_output_granularity_v(void)
{
	return 0x00000080;
}
static inline u32 gr_pd_ab_dist_cfg2_r(void)
{
	return 0x004064c8;
}
static inline u32 gr_pd_ab_dist_cfg2_token_limit_f(u32 v)
{
	return (v & 0x1fff) << 0;
}
static inline u32 gr_pd_ab_dist_cfg2_token_limit_init_v(void)
{
	return 0x00000380;
}
static inline u32 gr_pd_ab_dist_cfg2_state_limit_f(u32 v)
{
	return (v & 0x1fff) << 16;
}
static inline u32 gr_pd_ab_dist_cfg2_state_limit_scc_bundle_granularity_v(void)
{
	return 0x00000020;
}
static inline u32 gr_pd_ab_dist_cfg2_state_limit_min_gpm_fifo_depths_v(void)
{
	return 0x00000302;
}
static inline u32 gr_pd_dist_skip_table_r(u32 i)
{
	return 0x004064d0 + i*4;
}
static inline u32 gr_pd_dist_skip_table__size_1_v(void)
{
	return 0x00000008;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n0_mask_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n1_mask_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n2_mask_f(u32 v)
{
	return (v & 0xff) << 16;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n3_mask_f(u32 v)
{
	return (v & 0xff) << 24;
}
static inline u32 gr_ds_debug_r(void)
{
	return 0x00405800;
}
static inline u32 gr_ds_debug_timeslice_mode_disable_f(void)
{
	return 0x0;
}
static inline u32 gr_ds_debug_timeslice_mode_enable_f(void)
{
	return 0x8000000;
}
static inline u32 gr_ds_zbc_color_r_r(void)
{
	return 0x00405804;
}
static inline u32 gr_ds_zbc_color_r_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_ds_zbc_color_g_r(void)
{
	return 0x00405808;
}
static inline u32 gr_ds_zbc_color_g_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_ds_zbc_color_b_r(void)
{
	return 0x0040580c;
}
static inline u32 gr_ds_zbc_color_b_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_ds_zbc_color_a_r(void)
{
	return 0x00405810;
}
static inline u32 gr_ds_zbc_color_a_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_ds_zbc_color_fmt_r(void)
{
	return 0x00405814;
}
static inline u32 gr_ds_zbc_color_fmt_val_f(u32 v)
{
	return (v & 0x7f) << 0;
}
static inline u32 gr_ds_zbc_color_fmt_val_invalid_f(void)
{
	return 0x0;
}
static inline u32 gr_ds_zbc_color_fmt_val_zero_v(void)
{
	return 0x00000001;
}
static inline u32 gr_ds_zbc_color_fmt_val_unorm_one_v(void)
{
	return 0x00000002;
}
static inline u32 gr_ds_zbc_color_fmt_val_rf32_gf32_bf32_af32_v(void)
{
	return 0x00000004;
}
static inline u32 gr_ds_zbc_color_fmt_val_a8_b8_g8_r8_v(void)
{
	return 0x00000028;
}
static inline u32 gr_ds_zbc_z_r(void)
{
	return 0x00405818;
}
static inline u32 gr_ds_zbc_z_val_s(void)
{
	return 32;
}
static inline u32 gr_ds_zbc_z_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_ds_zbc_z_val_m(void)
{
	return 0xffffffff << 0;
}
static inline u32 gr_ds_zbc_z_val_v(u32 r)
{
	return (r >> 0) & 0xffffffff;
}
static inline u32 gr_ds_zbc_z_val__init_v(void)
{
	return 0x00000000;
}
static inline u32 gr_ds_zbc_z_val__init_f(void)
{
	return 0x0;
}
static inline u32 gr_ds_zbc_z_fmt_r(void)
{
	return 0x0040581c;
}
static inline u32 gr_ds_zbc_z_fmt_val_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_ds_zbc_z_fmt_val_invalid_f(void)
{
	return 0x0;
}
static inline u32 gr_ds_zbc_z_fmt_val_fp32_v(void)
{
	return 0x00000001;
}
static inline u32 gr_ds_zbc_tbl_index_r(void)
{
	return 0x00405820;
}
static inline u32 gr_ds_zbc_tbl_index_val_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_ds_zbc_tbl_ld_r(void)
{
	return 0x00405824;
}
static inline u32 gr_ds_zbc_tbl_ld_select_c_f(void)
{
	return 0x0;
}
static inline u32 gr_ds_zbc_tbl_ld_select_z_f(void)
{
	return 0x1;
}
static inline u32 gr_ds_zbc_tbl_ld_action_write_f(void)
{
	return 0x0;
}
static inline u32 gr_ds_zbc_tbl_ld_trigger_active_f(void)
{
	return 0x4;
}
static inline u32 gr_ds_tga_constraintlogic_beta_r(void)
{
	return 0x00405830;
}
static inline u32 gr_ds_tga_constraintlogic_beta_cbsize_f(u32 v)
{
	return (v & 0x3fffff) << 0;
}
static inline u32 gr_ds_tga_constraintlogic_alpha_r(void)
{
	return 0x0040585c;
}
static inline u32 gr_ds_tga_constraintlogic_alpha_cbsize_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_ds_hww_esr_r(void)
{
	return 0x00405840;
}
static inline u32 gr_ds_hww_esr_reset_s(void)
{
	return 1;
}
static inline u32 gr_ds_hww_esr_reset_f(u32 v)
{
	return (v & 0x1) << 30;
}
static inline u32 gr_ds_hww_esr_reset_m(void)
{
	return 0x1 << 30;
}
static inline u32 gr_ds_hww_esr_reset_v(u32 r)
{
	return (r >> 30) & 0x1;
}
static inline u32 gr_ds_hww_esr_reset_task_v(void)
{
	return 0x00000001;
}
static inline u32 gr_ds_hww_esr_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_ds_hww_esr_en_enabled_f(void)
{
	return 0x80000000;
}
static inline u32 gr_ds_hww_esr_2_r(void)
{
	return 0x00405848;
}
static inline u32 gr_ds_hww_esr_2_reset_s(void)
{
	return 1;
}
static inline u32 gr_ds_hww_esr_2_reset_f(u32 v)
{
	return (v & 0x1) << 30;
}
static inline u32 gr_ds_hww_esr_2_reset_m(void)
{
	return 0x1 << 30;
}
static inline u32 gr_ds_hww_esr_2_reset_v(u32 r)
{
	return (r >> 30) & 0x1;
}
static inline u32 gr_ds_hww_esr_2_reset_task_v(void)
{
	return 0x00000001;
}
static inline u32 gr_ds_hww_esr_2_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_ds_hww_esr_2_en_enabled_f(void)
{
	return 0x80000000;
}
static inline u32 gr_ds_hww_report_mask_r(void)
{
	return 0x00405844;
}
static inline u32 gr_ds_hww_report_mask_sph0_err_report_f(void)
{
	return 0x1;
}
static inline u32 gr_ds_hww_report_mask_sph1_err_report_f(void)
{
	return 0x2;
}
static inline u32 gr_ds_hww_report_mask_sph2_err_report_f(void)
{
	return 0x4;
}
static inline u32 gr_ds_hww_report_mask_sph3_err_report_f(void)
{
	return 0x8;
}
static inline u32 gr_ds_hww_report_mask_sph4_err_report_f(void)
{
	return 0x10;
}
static inline u32 gr_ds_hww_report_mask_sph5_err_report_f(void)
{
	return 0x20;
}
static inline u32 gr_ds_hww_report_mask_sph6_err_report_f(void)
{
	return 0x40;
}
static inline u32 gr_ds_hww_report_mask_sph7_err_report_f(void)
{
	return 0x80;
}
static inline u32 gr_ds_hww_report_mask_sph8_err_report_f(void)
{
	return 0x100;
}
static inline u32 gr_ds_hww_report_mask_sph9_err_report_f(void)
{
	return 0x200;
}
static inline u32 gr_ds_hww_report_mask_sph10_err_report_f(void)
{
	return 0x400;
}
static inline u32 gr_ds_hww_report_mask_sph11_err_report_f(void)
{
	return 0x800;
}
static inline u32 gr_ds_hww_report_mask_sph12_err_report_f(void)
{
	return 0x1000;
}
static inline u32 gr_ds_hww_report_mask_sph13_err_report_f(void)
{
	return 0x2000;
}
static inline u32 gr_ds_hww_report_mask_sph14_err_report_f(void)
{
	return 0x4000;
}
static inline u32 gr_ds_hww_report_mask_sph15_err_report_f(void)
{
	return 0x8000;
}
static inline u32 gr_ds_hww_report_mask_sph16_err_report_f(void)
{
	return 0x10000;
}
static inline u32 gr_ds_hww_report_mask_sph17_err_report_f(void)
{
	return 0x20000;
}
static inline u32 gr_ds_hww_report_mask_sph18_err_report_f(void)
{
	return 0x40000;
}
static inline u32 gr_ds_hww_report_mask_sph19_err_report_f(void)
{
	return 0x80000;
}
static inline u32 gr_ds_hww_report_mask_sph20_err_report_f(void)
{
	return 0x100000;
}
static inline u32 gr_ds_hww_report_mask_sph21_err_report_f(void)
{
	return 0x200000;
}
static inline u32 gr_ds_hww_report_mask_sph22_err_report_f(void)
{
	return 0x400000;
}
static inline u32 gr_ds_hww_report_mask_sph23_err_report_f(void)
{
	return 0x800000;
}
static inline u32 gr_ds_hww_report_mask_2_r(void)
{
	return 0x0040584c;
}
static inline u32 gr_ds_hww_report_mask_2_sph24_err_report_f(void)
{
	return 0x1;
}
static inline u32 gr_ds_num_tpc_per_gpc_r(u32 i)
{
	return 0x00405870 + i*4;
}
static inline u32 gr_scc_bundle_cb_base_r(void)
{
	return 0x00408004;
}
static inline u32 gr_scc_bundle_cb_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_scc_bundle_cb_base_addr_39_8_align_bits_v(void)
{
	return 0x00000008;
}
static inline u32 gr_scc_bundle_cb_size_r(void)
{
	return 0x00408008;
}
static inline u32 gr_scc_bundle_cb_size_div_256b_f(u32 v)
{
	return (v & 0x7ff) << 0;
}
static inline u32 gr_scc_bundle_cb_size_div_256b__prod_v(void)
{
	return 0x00000030;
}
static inline u32 gr_scc_bundle_cb_size_div_256b_byte_granularity_v(void)
{
	return 0x00000100;
}
static inline u32 gr_scc_bundle_cb_size_valid_false_v(void)
{
	return 0x00000000;
}
static inline u32 gr_scc_bundle_cb_size_valid_false_f(void)
{
	return 0x0;
}
static inline u32 gr_scc_bundle_cb_size_valid_true_f(void)
{
	return 0x80000000;
}
static inline u32 gr_scc_pagepool_base_r(void)
{
	return 0x0040800c;
}
static inline u32 gr_scc_pagepool_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_scc_pagepool_base_addr_39_8_align_bits_v(void)
{
	return 0x00000008;
}
static inline u32 gr_scc_pagepool_r(void)
{
	return 0x00408010;
}
static inline u32 gr_scc_pagepool_total_pages_f(u32 v)
{
	return (v & 0x3ff) << 0;
}
static inline u32 gr_scc_pagepool_total_pages_hwmax_v(void)
{
	return 0x00000000;
}
static inline u32 gr_scc_pagepool_total_pages_hwmax_value_v(void)
{
	return 0x00000200;
}
static inline u32 gr_scc_pagepool_total_pages_byte_granularity_v(void)
{
	return 0x00000100;
}
static inline u32 gr_scc_pagepool_max_valid_pages_s(void)
{
	return 10;
}
static inline u32 gr_scc_pagepool_max_valid_pages_f(u32 v)
{
	return (v & 0x3ff) << 10;
}
static inline u32 gr_scc_pagepool_max_valid_pages_m(void)
{
	return 0x3ff << 10;
}
static inline u32 gr_scc_pagepool_max_valid_pages_v(u32 r)
{
	return (r >> 10) & 0x3ff;
}
static inline u32 gr_scc_pagepool_valid_true_f(void)
{
	return 0x80000000;
}
static inline u32 gr_scc_init_r(void)
{
	return 0x0040802c;
}
static inline u32 gr_scc_init_ram_trigger_f(void)
{
	return 0x1;
}
static inline u32 gr_scc_hww_esr_r(void)
{
	return 0x00408030;
}
static inline u32 gr_scc_hww_esr_reset_active_f(void)
{
	return 0x40000000;
}
static inline u32 gr_scc_hww_esr_en_enable_f(void)
{
	return 0x80000000;
}
static inline u32 gr_sked_hww_esr_r(void)
{
	return 0x00407020;
}
static inline u32 gr_sked_hww_esr_reset_active_f(void)
{
	return 0x40000000;
}
static inline u32 gr_sked_hww_esr_en_r(void)
{
	return 0x00407024;
}
static inline u32 gr_sked_hww_esr_en_skedcheck18_l1_config_too_small_m(void)
{
	return 0x1 << 25;
}
static inline u32 gr_sked_hww_esr_en_skedcheck18_l1_config_too_small_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_sked_hww_esr_en_skedcheck18_l1_config_too_small_enabled_f(void)
{
	return 0x2000000;
}
static inline u32 gr_cwd_fs_r(void)
{
	return 0x00405b00;
}
static inline u32 gr_cwd_fs_num_gpcs_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_cwd_fs_num_tpcs_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_cwd_gpc_tpc_id_r(u32 i)
{
	return 0x00405b60 + i*4;
}
static inline u32 gr_cwd_gpc_tpc_id_tpc0_s(void)
{
	return 4;
}
static inline u32 gr_cwd_gpc_tpc_id_tpc0_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_cwd_gpc_tpc_id_gpc0_s(void)
{
	return 4;
}
static inline u32 gr_cwd_gpc_tpc_id_gpc0_f(u32 v)
{
	return (v & 0xf) << 4;
}
static inline u32 gr_cwd_gpc_tpc_id_tpc1_f(u32 v)
{
	return (v & 0xf) << 8;
}
static inline u32 gr_cwd_sm_id_r(u32 i)
{
	return 0x00405ba0 + i*4;
}
static inline u32 gr_cwd_sm_id__size_1_v(void)
{
	return 0x00000010;
}
static inline u32 gr_cwd_sm_id_tpc0_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_cwd_sm_id_tpc1_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_gpc0_fs_gpc_r(void)
{
	return 0x00502608;
}
static inline u32 gr_gpc0_fs_gpc_num_available_tpcs_v(u32 r)
{
	return (r >> 0) & 0x1f;
}
static inline u32 gr_gpc0_fs_gpc_num_available_zculls_v(u32 r)
{
	return (r >> 16) & 0x1f;
}
static inline u32 gr_gpc0_cfg_r(void)
{
	return 0x00502620;
}
static inline u32 gr_gpc0_cfg_imem_sz_v(u32 r)
{
	return (r >> 0) & 0xff;
}
static inline u32 gr_gpccs_rc_lanes_r(void)
{
	return 0x00502880;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_s(void)
{
	return 6;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_f(u32 v)
{
	return (v & 0x3f) << 0;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_m(void)
{
	return 0x3f << 0;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_v(u32 r)
{
	return (r >> 0) & 0x3f;
}
static inline u32 gr_gpccs_rc_lane_size_r(void)
{
	return 0x00502910;
}
static inline u32 gr_gpccs_rc_lane_size_v_s(void)
{
	return 24;
}
static inline u32 gr_gpccs_rc_lane_size_v_f(u32 v)
{
	return (v & 0xffffff) << 0;
}
static inline u32 gr_gpccs_rc_lane_size_v_m(void)
{
	return 0xffffff << 0;
}
static inline u32 gr_gpccs_rc_lane_size_v_v(u32 r)
{
	return (r >> 0) & 0xffffff;
}
static inline u32 gr_gpccs_rc_lane_size_v_0_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpccs_rc_lane_size_v_0_f(void)
{
	return 0x0;
}
static inline u32 gr_gpc0_zcull_fs_r(void)
{
	return 0x00500910;
}
static inline u32 gr_gpc0_zcull_fs_num_sms_f(u32 v)
{
	return (v & 0x1ff) << 0;
}
static inline u32 gr_gpc0_zcull_fs_num_active_banks_f(u32 v)
{
	return (v & 0xf) << 16;
}
static inline u32 gr_gpc0_zcull_ram_addr_r(void)
{
	return 0x00500914;
}
static inline u32 gr_gpc0_zcull_ram_addr_tiles_per_hypertile_row_per_gpc_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_gpc0_zcull_ram_addr_row_offset_f(u32 v)
{
	return (v & 0xf) << 8;
}
static inline u32 gr_gpc0_zcull_sm_num_rcp_r(void)
{
	return 0x00500918;
}
static inline u32 gr_gpc0_zcull_sm_num_rcp_conservative_f(u32 v)
{
	return (v & 0xffffff) << 0;
}
static inline u32 gr_gpc0_zcull_sm_num_rcp_conservative__max_v(void)
{
	return 0x00800000;
}
static inline u32 gr_gpc0_zcull_total_ram_size_r(void)
{
	return 0x00500920;
}
static inline u32 gr_gpc0_zcull_total_ram_size_num_aliquots_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_zcull_zcsize_r(u32 i)
{
	return 0x00500a04 + i*32;
}
static inline u32 gr_gpc0_zcull_zcsize_height_subregion__multiple_v(void)
{
	return 0x00000040;
}
static inline u32 gr_gpc0_zcull_zcsize_width_subregion__multiple_v(void)
{
	return 0x00000010;
}
static inline u32 gr_gpc0_gpm_pd_sm_id_r(u32 i)
{
	return 0x00500c10 + i*4;
}
static inline u32 gr_gpc0_gpm_pd_sm_id_id_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_gpc0_gpm_pd_pes_tpc_id_mask_r(u32 i)
{
	return 0x00500c30 + i*4;
}
static inline u32 gr_gpc0_gpm_pd_pes_tpc_id_mask_mask_v(u32 r)
{
	return (r >> 0) & 0xff;
}
static inline u32 gr_gpc0_tpc0_pe_cfg_smid_r(void)
{
	return 0x00504088;
}
static inline u32 gr_gpc0_tpc0_pe_cfg_smid_value_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_tpc0_sm_cfg_r(void)
{
	return 0x00504608;
}
static inline u32 gr_gpc0_tpc0_sm_cfg_tpc_id_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_tpc0_sm_cfg_tpc_id_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_tpc0_sm_arch_r(void)
{
	return 0x00504330;
}
static inline u32 gr_gpc0_tpc0_sm_arch_warp_count_v(u32 r)
{
	return (r >> 0) & 0xff;
}
static inline u32 gr_gpc0_tpc0_sm_arch_spa_version_v(u32 r)
{
	return (r >> 8) & 0xfff;
}
static inline u32 gr_gpc0_tpc0_sm_arch_sm_version_v(u32 r)
{
	return (r >> 20) & 0xfff;
}
static inline u32 gr_gpc0_ppc0_pes_vsc_strem_r(void)
{
	return 0x00503018;
}
static inline u32 gr_gpc0_ppc0_pes_vsc_strem_master_pe_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpc0_ppc0_pes_vsc_strem_master_pe_true_f(void)
{
	return 0x1;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_r(void)
{
	return 0x005030c0;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_f(u32 v)
{
	return (v & 0x3fffff) << 0;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_m(void)
{
	return 0x3fffff << 0;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_default_v(void)
{
	return 0x00000800;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_gfxp_v(void)
{
	return 0x00001100;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_granularity_v(void)
{
	return 0x00000020;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_offset_r(void)
{
	return 0x005030f4;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_r(void)
{
	return 0x005030e4;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_default_v(void)
{
	return 0x00000800;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_granularity_v(void)
{
	return 0x00000020;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_offset_r(void)
{
	return 0x005030f8;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_steady_state_cb_size_r(void)
{
	return 0x005030f0;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_steady_state_cb_size_v_f(u32 v)
{
	return (v & 0x3fffff) << 0;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_steady_state_cb_size_v_default_v(void)
{
	return 0x00000800;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_0_r(void)
{
	return 0x00419e00;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_0_base_addr_43_12_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_r(void)
{
	return 0x00419e04;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_s(void)
{
	return 21;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_f(u32 v)
{
	return (v & 0x1fffff) << 0;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_m(void)
{
	return 0x1fffff << 0;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_v(u32 r)
{
	return (r >> 0) & 0x1fffff;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_granularity_f(void)
{
	return 0x80;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_s(void)
{
	return 1;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_f(u32 v)
{
	return (v & 0x1) << 31;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_v(u32 r)
{
	return (r >> 31) & 0x1;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_true_f(void)
{
	return 0x80000000;
}
static inline u32 gr_gpccs_falcon_addr_r(void)
{
	return 0x0041a0ac;
}
static inline u32 gr_gpccs_falcon_addr_lsb_s(void)
{
	return 6;
}
static inline u32 gr_gpccs_falcon_addr_lsb_f(u32 v)
{
	return (v & 0x3f) << 0;
}
static inline u32 gr_gpccs_falcon_addr_lsb_m(void)
{
	return 0x3f << 0;
}
static inline u32 gr_gpccs_falcon_addr_lsb_v(u32 r)
{
	return (r >> 0) & 0x3f;
}
static inline u32 gr_gpccs_falcon_addr_lsb_init_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpccs_falcon_addr_lsb_init_f(void)
{
	return 0x0;
}
static inline u32 gr_gpccs_falcon_addr_msb_s(void)
{
	return 6;
}
static inline u32 gr_gpccs_falcon_addr_msb_f(u32 v)
{
	return (v & 0x3f) << 6;
}
static inline u32 gr_gpccs_falcon_addr_msb_m(void)
{
	return 0x3f << 6;
}
static inline u32 gr_gpccs_falcon_addr_msb_v(u32 r)
{
	return (r >> 6) & 0x3f;
}
static inline u32 gr_gpccs_falcon_addr_msb_init_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpccs_falcon_addr_msb_init_f(void)
{
	return 0x0;
}
static inline u32 gr_gpccs_falcon_addr_ext_s(void)
{
	return 12;
}
static inline u32 gr_gpccs_falcon_addr_ext_f(u32 v)
{
	return (v & 0xfff) << 0;
}
static inline u32 gr_gpccs_falcon_addr_ext_m(void)
{
	return 0xfff << 0;
}
static inline u32 gr_gpccs_falcon_addr_ext_v(u32 r)
{
	return (r >> 0) & 0xfff;
}
static inline u32 gr_gpccs_cpuctl_r(void)
{
	return 0x0041a100;
}
static inline u32 gr_gpccs_cpuctl_startcpu_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_gpccs_dmactl_r(void)
{
	return 0x0041a10c;
}
static inline u32 gr_gpccs_dmactl_require_ctx_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpccs_dmactl_dmem_scrubbing_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_gpccs_dmactl_imem_scrubbing_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_gpccs_imemc_r(u32 i)
{
	return 0x0041a180 + i*16;
}
static inline u32 gr_gpccs_imemc_offs_f(u32 v)
{
	return (v & 0x3f) << 2;
}
static inline u32 gr_gpccs_imemc_blk_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_gpccs_imemc_aincw_f(u32 v)
{
	return (v & 0x1) << 24;
}
static inline u32 gr_gpccs_imemd_r(u32 i)
{
	return 0x0041a184 + i*16;
}
static inline u32 gr_gpccs_imemt_r(u32 i)
{
	return 0x0041a188 + i*16;
}
static inline u32 gr_gpccs_imemt__size_1_v(void)
{
	return 0x00000004;
}
static inline u32 gr_gpccs_imemt_tag_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpccs_dmemc_r(u32 i)
{
	return 0x0041a1c0 + i*8;
}
static inline u32 gr_gpccs_dmemc_offs_f(u32 v)
{
	return (v & 0x3f) << 2;
}
static inline u32 gr_gpccs_dmemc_blk_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_gpccs_dmemc_aincw_f(u32 v)
{
	return (v & 0x1) << 24;
}
static inline u32 gr_gpccs_dmemd_r(u32 i)
{
	return 0x0041a1c4 + i*8;
}
static inline u32 gr_gpccs_ctxsw_mailbox_r(u32 i)
{
	return 0x0041a800 + i*4;
}
static inline u32 gr_gpccs_ctxsw_mailbox_value_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_r(void)
{
	return 0x00418e24;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_s(void)
{
	return 32;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_m(void)
{
	return 0xffffffff << 0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_v(u32 r)
{
	return (r >> 0) & 0xffffffff;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_init_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_init_f(void)
{
	return 0x0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_r(void)
{
	return 0x00418e28;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_s(void)
{
	return 11;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_f(u32 v)
{
	return (v & 0x7ff) << 0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_m(void)
{
	return 0x7ff << 0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_v(u32 r)
{
	return (r >> 0) & 0x7ff;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_init_v(void)
{
	return 0x00000030;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_init_f(void)
{
	return 0x30;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_s(void)
{
	return 1;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_f(u32 v)
{
	return (v & 0x1) << 31;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_v(u32 r)
{
	return (r >> 31) & 0x1;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_false_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_false_f(void)
{
	return 0x0;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_true_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_true_f(void)
{
	return 0x80000000;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_r(void)
{
	return 0x005001dc;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_256b_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_256b_default_v(void)
{
	return 0x00000170;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_256b_byte_granularity_v(void)
{
	return 0x00000100;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_addr_r(void)
{
	return 0x005001d8;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_addr_39_8_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_addr_39_8_align_bits_v(void)
{
	return 0x00000008;
}
static inline u32 gr_gpcs_swdx_beta_cb_ctrl_r(void)
{
	return 0x004181e4;
}
static inline u32 gr_gpcs_swdx_beta_cb_ctrl_cbes_reserve_f(u32 v)
{
	return (v & 0xfff) << 0;
}
static inline u32 gr_gpcs_swdx_beta_cb_ctrl_cbes_reserve_gfxp_v(void)
{
	return 0x00000100;
}
static inline u32 gr_gpcs_ppcs_cbm_beta_cb_ctrl_r(void)
{
	return 0x0041befc;
}
static inline u32 gr_gpcs_ppcs_cbm_beta_cb_ctrl_cbes_reserve_f(u32 v)
{
	return (v & 0xfff) << 0;
}
static inline u32 gr_gpcs_swdx_tc_beta_cb_size_r(u32 i)
{
	return 0x00418ea0 + i*4;
}
static inline u32 gr_gpcs_swdx_tc_beta_cb_size_v_f(u32 v)
{
	return (v & 0x3fffff) << 0;
}
static inline u32 gr_gpcs_swdx_tc_beta_cb_size_v_m(void)
{
	return 0x3fffff << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_r_r(u32 i)
{
	return 0x00418010 + i*4;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_r_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_g_r(u32 i)
{
	return 0x0041804c + i*4;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_g_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_b_r(u32 i)
{
	return 0x00418088 + i*4;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_b_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_a_r(u32 i)
{
	return 0x004180c4 + i*4;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_a_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_c_01_to_04_format_r(void)
{
	return 0x00418100;
}
static inline u32 gr_gpcs_swdx_dss_zbc_z_r(u32 i)
{
	return 0x00418110 + i*4;
}
static inline u32 gr_gpcs_swdx_dss_zbc_z_val_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_z_01_to_04_format_r(void)
{
	return 0x0041814c;
}
static inline u32 gr_gpcs_swdx_dss_zbc_s_r(u32 i)
{
	return 0x0041815c + i*4;
}
static inline u32 gr_gpcs_swdx_dss_zbc_s_val_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_gpcs_swdx_dss_zbc_s_01_to_04_format_r(void)
{
	return 0x00418198;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_r(void)
{
	return 0x00418810;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_addr_39_12_f(u32 v)
{
	return (v & 0xfffffff) << 0;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_addr_39_12_align_bits_v(void)
{
	return 0x0000000c;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_valid_true_f(void)
{
	return 0x80000000;
}
static inline u32 gr_crstr_gpc_map_r(u32 i)
{
	return 0x00418b08 + i*4;
}
static inline u32 gr_crstr_gpc_map_tile0_f(u32 v)
{
	return (v & 0x1f) << 0;
}
static inline u32 gr_crstr_gpc_map_tile1_f(u32 v)
{
	return (v & 0x1f) << 5;
}
static inline u32 gr_crstr_gpc_map_tile2_f(u32 v)
{
	return (v & 0x1f) << 10;
}
static inline u32 gr_crstr_gpc_map_tile3_f(u32 v)
{
	return (v & 0x1f) << 15;
}
static inline u32 gr_crstr_gpc_map_tile4_f(u32 v)
{
	return (v & 0x1f) << 20;
}
static inline u32 gr_crstr_gpc_map_tile5_f(u32 v)
{
	return (v & 0x1f) << 25;
}
static inline u32 gr_crstr_map_table_cfg_r(void)
{
	return 0x00418bb8;
}
static inline u32 gr_crstr_map_table_cfg_row_offset_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_crstr_map_table_cfg_num_entries_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_r(u32 i)
{
	return 0x00418980 + i*4;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_0_f(u32 v)
{
	return (v & 0x7) << 0;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_1_f(u32 v)
{
	return (v & 0x7) << 4;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_2_f(u32 v)
{
	return (v & 0x7) << 8;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_3_f(u32 v)
{
	return (v & 0x7) << 12;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_4_f(u32 v)
{
	return (v & 0x7) << 16;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_5_f(u32 v)
{
	return (v & 0x7) << 20;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_6_f(u32 v)
{
	return (v & 0x7) << 24;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_7_f(u32 v)
{
	return (v & 0x7) << 28;
}
static inline u32 gr_gpcs_gpm_pd_cfg_r(void)
{
	return 0x00418c6c;
}
static inline u32 gr_gpcs_gcc_pagepool_base_r(void)
{
	return 0x00419004;
}
static inline u32 gr_gpcs_gcc_pagepool_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpcs_gcc_pagepool_r(void)
{
	return 0x00419008;
}
static inline u32 gr_gpcs_gcc_pagepool_total_pages_f(u32 v)
{
	return (v & 0x3ff) << 0;
}
static inline u32 gr_gpcs_tpcs_pe_vaf_r(void)
{
	return 0x0041980c;
}
static inline u32 gr_gpcs_tpcs_pe_vaf_fast_mode_switch_true_f(void)
{
	return 0x10;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_r(void)
{
	return 0x00419848;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_v_f(u32 v)
{
	return (v & 0xfffffff) << 0;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_valid_f(u32 v)
{
	return (v & 0x1) << 28;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_valid_true_f(void)
{
	return 0x10000000;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_debug_r(void)
{
	return 0x00419c00;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_debug_timeslice_mode_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_debug_timeslice_mode_enabled_f(void)
{
	return 0x8;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_r(void)
{
	return 0x00419c2c;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_v_f(u32 v)
{
	return (v & 0xfffffff) << 0;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_valid_f(u32 v)
{
	return (v & 0x1) << 28;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_valid_true_f(void)
{
	return 0x10000000;
}
static inline u32 gr_gpcs_tpcs_sms_hww_warp_esr_report_mask_r(void)
{
	return 0x00419ea8;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_r(void)
{
	return 0x00504728;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_stack_error_report_f(void)
{
	return 0x2;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_api_stack_error_report_f(void)
{
	return 0x4;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_pc_wrap_report_f(void)
{
	return 0x10;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_misaligned_pc_report_f(void)
{
	return 0x20;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_pc_overflow_report_f(void)
{
	return 0x40;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_misaligned_reg_report_f(void)
{
	return 0x100;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_illegal_instr_encoding_report_f(void)
{
	return 0x200;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_illegal_instr_param_report_f(void)
{
	return 0x800;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_oor_reg_report_f(void)
{
	return 0x2000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_oor_addr_report_f(void)
{
	return 0x4000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_misaligned_addr_report_f(void)
{
	return 0x8000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_invalid_addr_space_report_f(void)
{
	return 0x10000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_invalid_const_addr_ldc_report_f(void)
{
	return 0x40000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_mmu_fault_report_f(void)
{
	return 0x800000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_stack_overflow_report_f(void)
{
	return 0x400000;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_r(void)
{
	return 0x00419d0c;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_sm_enabled_f(void)
{
	return 0x2;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_tex_enabled_f(void)
{
	return 0x1;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_r(void)
{
	return 0x0050450c;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_sm_v(u32 r)
{
	return (r >> 1) & 0x1;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_sm_enabled_f(void)
{
	return 0x2;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_r(void)
{
	return 0x0041ac94;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_gcc_f(u32 v)
{
	return (v & 0x1) << 2;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_tpc_f(u32 v)
{
	return (v & 0xff) << 16;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_gpccs_f(u32 v)
{
	return (v & 0x1) << 14;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_gpcmmu_f(u32 v)
{
	return (v & 0x1) << 15;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_r(void)
{
	return 0x00502c90;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gcc_v(u32 r)
{
	return (r >> 2) & 0x1;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_tpc_v(u32 r)
{
	return (r >> 16) & 0xff;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_tpc_0_pending_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpccs_f(u32 v)
{
	return (v & 0x1) << 14;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpccs_m(void)
{
	return 0x1 << 14;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpccs_pending_f(void)
{
	return 0x4000;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpcmmu_f(u32 v)
{
	return (v & 0x1) << 15;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpcmmu_m(void)
{
	return 0x1 << 15;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpcmmu_pending_f(void)
{
	return 0x8000;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_r(void)
{
	return 0x00501048;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_corrected_err_bank0_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_corrected_err_bank1_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_uncorrected_err_bank0_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_uncorrected_err_bank1_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 8) & 0x1;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 10) & 0x1;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_corrected_err_count_r(void)
{
	return 0x0050104c;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_uncorrected_err_count_r(void)
{
	return 0x00501054;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_r(void)
{
	return 0x00504508;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_tex_v(u32 r)
{
	return (r >> 0) & 0x1;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_tex_pending_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_sm_v(u32 r)
{
	return (r >> 1) & 0x1;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_sm_pending_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_r(void)
{
	return 0x00504704;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_v(u32 r)
{
	return (r >> 0) & 0x1;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_on_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_on_f(void)
{
	return 0x1;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_off_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_off_f(void)
{
	return 0x0;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_stop_trigger_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_stop_trigger_enable_f(void)
{
	return 0x80000000;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_stop_trigger_disable_f(void)
{
	return 0x0;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_single_step_mode_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_single_step_mode_enable_f(void)
{
	return 0x8;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_single_step_mode_disable_f(void)
{
	return 0x0;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_run_trigger_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_gpc0_tpc0_sm0_warp_valid_mask_0_r(void)
{
	return 0x00504708;
}
static inline u32 gr_gpc0_tpc0_sm0_warp_valid_mask_1_r(void)
{
	return 0x0050470c;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_pause_mask_0_r(void)
{
	return 0x00504710;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_pause_mask_1_r(void)
{
	return 0x00504714;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_trap_mask_0_r(void)
{
	return 0x00504718;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_trap_mask_1_r(void)
{
	return 0x0050471c;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_bpt_pause_mask_0_r(void)
{
	return 0x00419e90;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_bpt_pause_mask_1_r(void)
{
	return 0x00419e94;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_status0_r(void)
{
	return 0x00419e80;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_r(void)
{
	return 0x00504700;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_sm_in_trap_mode_v(u32 r)
{
	return (r >> 0) & 0x1;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_locked_down_v(u32 r)
{
	return (r >> 4) & 0x1;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_locked_down_true_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_r(void)
{
	return 0x00504730;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_none_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_none_f(void)
{
	return 0x0;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_wrap_id_m(void)
{
	return 0xff << 16;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_addr_error_type_m(void)
{
	return 0xf << 24;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_addr_error_type_none_f(void)
{
	return 0x0;
}
static inline u32 gr_gpc0_tpc0_sm_tpc_esr_sm_sel_r(void)
{
	return 0x0050460c;
}
static inline u32 gr_gpc0_tpc0_sm_tpc_esr_sm_sel_sm0_error_v(u32 r)
{
	return (r >> 0) & 0x1;
}
static inline u32 gr_gpc0_tpc0_sm_tpc_esr_sm_sel_sm1_error_v(u32 r)
{
	return (r >> 1) & 0x1;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_pc_r(void)
{
	return 0x00504738;
}
static inline u32 gr_gpc0_tpc0_sm_halfctl_ctrl_r(void)
{
	return 0x005043a0;
}
static inline u32 gr_gpcs_tpcs_sm_halfctl_ctrl_r(void)
{
	return 0x00419ba0;
}
static inline u32 gr_gpcs_tpcs_sm_halfctl_ctrl_sctl_read_quad_ctl_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_gpcs_tpcs_sm_halfctl_ctrl_sctl_read_quad_ctl_f(u32 v)
{
	return (v & 0x1) << 4;
}
static inline u32 gr_gpc0_tpc0_sm_debug_sfe_control_r(void)
{
	return 0x005043b0;
}
static inline u32 gr_gpcs_tpcs_sm_debug_sfe_control_r(void)
{
	return 0x00419bb0;
}
static inline u32 gr_gpcs_tpcs_sm_debug_sfe_control_read_half_ctl_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpcs_tpcs_sm_debug_sfe_control_read_half_ctl_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpcs_tpcs_pes_vsc_vpc_r(void)
{
	return 0x0041be08;
}
static inline u32 gr_gpcs_tpcs_pes_vsc_vpc_fast_mode_switch_true_f(void)
{
	return 0x4;
}
static inline u32 gr_ppcs_wwdx_map_gpc_map_r(u32 i)
{
	return 0x0041bf00 + i*4;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_r(void)
{
	return 0x0041bfd0;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_row_offset_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_num_entries_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_normalized_num_entries_f(u32 v)
{
	return (v & 0x1f) << 16;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_normalized_shift_value_f(u32 v)
{
	return (v & 0x7) << 21;
}
static inline u32 gr_gpcs_ppcs_wwdx_sm_num_rcp_r(void)
{
	return 0x0041bfd4;
}
static inline u32 gr_gpcs_ppcs_wwdx_sm_num_rcp_conservative_f(u32 v)
{
	return (v & 0xffffff) << 0;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_r(u32 i)
{
	return 0x0041bfb0 + i*4;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff__size_1_v(void)
{
	return 0x00000005;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_0_mod_value_f(u32 v)
{
	return (v & 0xff) << 0;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_1_mod_value_f(u32 v)
{
	return (v & 0xff) << 8;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_2_mod_value_f(u32 v)
{
	return (v & 0xff) << 16;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_3_mod_value_f(u32 v)
{
	return (v & 0xff) << 24;
}
static inline u32 gr_bes_zrop_settings_r(void)
{
	return 0x00408850;
}
static inline u32 gr_bes_zrop_settings_num_active_ltcs_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_be0_crop_debug3_r(void)
{
	return 0x00410108;
}
static inline u32 gr_bes_crop_debug3_r(void)
{
	return 0x00408908;
}
static inline u32 gr_bes_crop_debug3_comp_vdc_4to2_disable_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_bes_crop_settings_r(void)
{
	return 0x00408958;
}
static inline u32 gr_bes_crop_settings_num_active_ltcs_f(u32 v)
{
	return (v & 0xf) << 0;
}
static inline u32 gr_zcull_bytes_per_aliquot_per_gpu_v(void)
{
	return 0x00000020;
}
static inline u32 gr_zcull_save_restore_header_bytes_per_gpc_v(void)
{
	return 0x00000020;
}
static inline u32 gr_zcull_save_restore_subregion_header_bytes_per_gpc_v(void)
{
	return 0x000000c0;
}
static inline u32 gr_zcull_subregion_qty_v(void)
{
	return 0x00000010;
}
static inline u32 gr_gpcs_tpcs_tex_in_dbg_r(void)
{
	return 0x00419a00;
}
static inline u32 gr_gpcs_tpcs_tex_in_dbg_tsl1_rvch_invalidate_f(u32 v)
{
	return (v & 0x1) << 19;
}
static inline u32 gr_gpcs_tpcs_tex_in_dbg_tsl1_rvch_invalidate_m(void)
{
	return 0x1 << 19;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_r(void)
{
	return 0x00419bf0;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_ld_f(u32 v)
{
	return (v & 0x1) << 5;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_ld_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_st_f(u32 v)
{
	return (v & 0x1) << 10;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_st_m(void)
{
	return 0x1 << 10;
}
static inline u32 gr_fe_pwr_mode_r(void)
{
	return 0x00404170;
}
static inline u32 gr_fe_pwr_mode_mode_auto_f(void)
{
	return 0x0;
}
static inline u32 gr_fe_pwr_mode_mode_force_on_f(void)
{
	return 0x2;
}
static inline u32 gr_fe_pwr_mode_req_v(u32 r)
{
	return (r >> 4) & 0x1;
}
static inline u32 gr_fe_pwr_mode_req_send_f(void)
{
	return 0x10;
}
static inline u32 gr_fe_pwr_mode_req_done_v(void)
{
	return 0x00000000;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_r(void)
{
	return 0x00418880;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_vm_pg_size_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_use_pdb_big_page_size_m(void)
{
	return 0x1 << 11;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_vol_fault_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_comp_fault_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_miss_gran_m(void)
{
	return 0x3 << 3;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_cache_mode_m(void)
{
	return 0x3 << 5;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_mmu_aperture_m(void)
{
	return 0x3 << 28;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_mmu_vol_m(void)
{
	return 0x1 << 30;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_mmu_disable_m(void)
{
	return 0x1 << 31;
}
static inline u32 gr_gpcs_pri_mmu_pm_unit_mask_r(void)
{
	return 0x00418890;
}
static inline u32 gr_gpcs_pri_mmu_pm_req_mask_r(void)
{
	return 0x00418894;
}
static inline u32 gr_gpcs_pri_mmu_debug_ctrl_r(void)
{
	return 0x004188b0;
}
static inline u32 gr_gpcs_pri_mmu_debug_ctrl_debug_v(u32 r)
{
	return (r >> 16) & 0x1;
}
static inline u32 gr_gpcs_pri_mmu_debug_ctrl_debug_enabled_v(void)
{
	return 0x00000001;
}
static inline u32 gr_gpcs_pri_mmu_debug_wr_r(void)
{
	return 0x004188b4;
}
static inline u32 gr_gpcs_pri_mmu_debug_rd_r(void)
{
	return 0x004188b8;
}
static inline u32 gr_gpcs_mmu_num_active_ltcs_r(void)
{
	return 0x004188ac;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_control0_r(void)
{
	return 0x00419e84;
}
static inline u32 gr_fe_gfxp_wfi_timeout_r(void)
{
	return 0x004041c0;
}
static inline u32 gr_fe_gfxp_wfi_timeout_count_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_fe_gfxp_wfi_timeout_count_disabled_f(void)
{
	return 0x0;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_r(void)
{
	return 0x00419bd8;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_oor_addr_check_mode_f(u32 v)
{
	return (v & 0x7) << 8;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_oor_addr_check_mode_m(void)
{
	return 0x7 << 8;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_oor_addr_check_mode_arm_63_48_match_f(void)
{
	return 0x100;
}
static inline u32 gr_gpcs_tpcs_sm_disp_ctrl_r(void)
{
	return 0x00419ba4;
}
static inline u32 gr_gpcs_tpcs_sm_disp_ctrl_re_suppress_m(void)
{
	return 0x3 << 11;
}
static inline u32 gr_gpcs_tpcs_sm_disp_ctrl_re_suppress_disable_f(void)
{
	return 0x1000;
}
static inline u32 gr_gpcs_tc_debug0_r(void)
{
	return 0x00418708;
}
static inline u32 gr_gpcs_tc_debug0_limit_coalesce_buffer_size_f(u32 v)
{
	return (v & 0x1ff) << 0;
}
static inline u32 gr_gpcs_tc_debug0_limit_coalesce_buffer_size_m(void)
{
	return 0x1ff << 0;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_r(void)
{
	return 0x00500324;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_corrected_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_corrected_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_uncorrected_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_uncorrected_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_r(void)
{
	return 0x00500314;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_sa_data_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_sa_data_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_fa_data_f(u32 v)
{
	return (v & 0x1) << 2;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_fa_data_m(void)
{
	return 0x1 << 2;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_sa_data_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_sa_data_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_fa_data_f(u32 v)
{
	return (v & 0x1) << 3;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_fa_data_m(void)
{
	return 0x1 << 3;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 18;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_total_counter_overflow_m(void)
{
	return 0x1 << 18;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_total_counter_overflow_m(void)
{
	return 0x1 << 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 19;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_unique_counter_overflow_m(void)
{
	return 0x1 << 19;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 17;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_unique_counter_overflow_m(void)
{
	return 0x1 << 17;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_reset_f(u32 v)
{
	return (v & 0x1) << 30;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_reset_task_f(void)
{
	return 0x40000000;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_address_r(void)
{
	return 0x00500320;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_address_index_f(u32 v)
{
	return (v & 0xffffffff) << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_r(void)
{
	return 0x00500318;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_m(void)
{
	return 0xffff << 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16) & 0xffff;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_r(void)
{
	return 0x0050031c;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_m(void)
{
	return 0xffff << 16;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16) & 0xffff;
}
static inline u32 gr_gpc0_gpccs_hww_esr_r(void)
{
	return 0x00502c98;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_corrected_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_corrected_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_corrected_pending_f(void)
{
	return 0x1;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_uncorrected_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_uncorrected_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_uncorrected_pending_f(void)
{
	return 0x2;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_r(void)
{
	return 0x00502678;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_imem_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_imem_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_imem_pending_f(void)
{
	return 0x1;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_dmem_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_dmem_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_dmem_pending_f(void)
{
	return 0x2;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_imem_f(u32 v)
{
	return (v & 0x1) << 4;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_imem_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_imem_pending_f(void)
{
	return 0x10;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_dmem_f(u32 v)
{
	return (v & 0x1) << 5;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_dmem_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_dmem_pending_f(void)
{
	return 0x20;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 10;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_total_counter_overflow_m(void)
{
	return 0x1 << 10;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_total_counter_overflow_pending_f(void)
{
	return 0x400;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 8;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_total_counter_overflow_m(void)
{
	return 0x1 << 8;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_total_counter_overflow_pending_f(void)
{
	return 0x100;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 11;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_m(void)
{
	return 0x1 << 11;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x800;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 9;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_unique_counter_overflow_m(void)
{
	return 0x1 << 9;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x200;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_reset_f(u32 v)
{
	return (v & 0x1) << 31;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_reset_task_f(void)
{
	return 0x80000000;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_r(void)
{
	return 0x00502684;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_index_f(u32 v)
{
	return (v & 0x7fffff) << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_s(void)
{
	return 20;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_f(u32 v)
{
	return (v & 0xfffff) << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_m(void)
{
	return 0xfffff << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_v(u32 r)
{
	return (r >> 0) & 0xfffff;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_r(void)
{
	return 0x0050267c;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_m(void)
{
	return 0xffff << 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16) & 0xffff;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_r(void)
{
	return 0x00502680;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_total_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_total_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_s(void)
{
	return 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_m(void)
{
	return 0xffff << 16;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16) & 0xffff;
}
static inline u32 gr_fecs_falcon_ecc_status_r(void)
{
	return 0x00409678;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_imem_f(u32 v)
{
	return (v & 0x1) << 0;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_imem_m(void)
{
	return 0x1 << 0;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_imem_pending_f(void)
{
	return 0x1;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_dmem_f(u32 v)
{
	return (v & 0x1) << 1;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_dmem_m(void)
{
	return 0x1 << 1;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_dmem_pending_f(void)
{
	return 0x2;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_imem_f(u32 v)
{
	return (v & 0x1) << 4;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_imem_m(void)
{
	return 0x1 << 4;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_imem_pending_f(void)
{
	return 0x10;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_dmem_f(u32 v)
{
	return (v & 0x1) << 5;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_dmem_m(void)
{
	return 0x1 << 5;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_dmem_pending_f(void)
{
	return 0x20;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 10;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_total_counter_overflow_m(void)
{
	return 0x1 << 10;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_total_counter_overflow_pending_f(void)
{
	return 0x400;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 8;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_total_counter_overflow_m(void)
{
	return 0x1 << 8;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_total_counter_overflow_pending_f(void)
{
	return 0x100;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 11;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_m(void)
{
	return 0x1 << 11;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x800;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1) << 9;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_unique_counter_overflow_m(void)
{
	return 0x1 << 9;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x200;
}
static inline u32 gr_fecs_falcon_ecc_status_reset_f(u32 v)
{
	return (v & 0x1) << 31;
}
static inline u32 gr_fecs_falcon_ecc_status_reset_task_f(void)
{
	return 0x80000000;
}
static inline u32 gr_fecs_falcon_ecc_address_r(void)
{
	return 0x00409684;
}
static inline u32 gr_fecs_falcon_ecc_address_index_f(u32 v)
{
	return (v & 0x7fffff) << 0;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_s(void)
{
	return 20;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_f(u32 v)
{
	return (v & 0xfffff) << 0;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_m(void)
{
	return 0xfffff << 0;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_v(u32 r)
{
	return (r >> 0) & 0xfffff;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_r(void)
{
	return 0x0040967c;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_s(void)
{
	return 16;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_s(void)
{
	return 16;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_m(void)
{
	return 0xffff << 16;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16) & 0xffff;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_r(void)
{
	return 0x00409680;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_total_f(u32 v)
{
	return (v & 0xffff) << 0;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_total_m(void)
{
	return 0xffff << 0;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0) & 0xffff;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_s(void)
{
	return 16;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffff) << 16;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_m(void)
{
	return 0xffff << 16;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16) & 0xffff;
}
#endif