summaryrefslogtreecommitdiffstats
path: root/drivers/gpu/nvgpu/include/nvgpu/hw/gv11b/hw_gr_gv11b.h
blob: f7d8089d8ffd77fb25e3083873b3602a9a9a20f9 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
4324
4325
4326
4327
4328
4329
4330
4331
4332
4333
4334
4335
4336
4337
4338
4339
4340
4341
4342
4343
4344
4345
4346
4347
4348
4349
4350
4351
4352
4353
4354
4355
4356
4357
4358
4359
4360
4361
4362
4363
4364
4365
4366
4367
4368
4369
4370
4371
4372
4373
4374
4375
4376
4377
4378
4379
4380
4381
4382
4383
4384
4385
4386
4387
4388
4389
4390
4391
4392
4393
4394
4395
4396
4397
4398
4399
4400
4401
4402
4403
4404
4405
4406
4407
4408
4409
4410
4411
4412
4413
4414
4415
4416
4417
4418
4419
4420
4421
4422
4423
4424
4425
4426
4427
4428
4429
4430
4431
4432
4433
4434
4435
4436
4437
4438
4439
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449
4450
4451
4452
4453
4454
4455
4456
4457
4458
4459
4460
4461
4462
4463
4464
4465
4466
4467
4468
4469
4470
4471
4472
4473
4474
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486
4487
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529
4530
4531
4532
4533
4534
4535
4536
4537
4538
4539
4540
4541
4542
4543
4544
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554
4555
4556
4557
4558
4559
4560
4561
4562
4563
4564
4565
4566
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594
4595
4596
4597
4598
4599
4600
4601
4602
4603
4604
4605
4606
4607
4608
4609
4610
4611
4612
4613
4614
4615
4616
4617
4618
4619
4620
4621
4622
4623
4624
4625
4626
4627
4628
4629
4630
4631
4632
4633
4634
4635
4636
4637
4638
4639
4640
4641
4642
4643
4644
4645
4646
4647
4648
4649
4650
4651
4652
4653
4654
4655
4656
4657
4658
4659
4660
4661
4662
4663
4664
4665
4666
4667
4668
4669
4670
4671
4672
4673
4674
4675
4676
4677
4678
4679
4680
4681
4682
4683
4684
4685
4686
4687
4688
4689
4690
4691
4692
4693
4694
4695
4696
4697
4698
4699
4700
4701
4702
4703
4704
4705
4706
4707
4708
4709
4710
4711
4712
4713
4714
4715
4716
4717
4718
4719
4720
4721
4722
4723
4724
4725
4726
4727
4728
4729
4730
4731
4732
4733
4734
4735
4736
4737
4738
4739
4740
4741
4742
4743
4744
4745
4746
4747
4748
4749
4750
4751
4752
4753
4754
4755
4756
4757
4758
4759
4760
4761
4762
4763
4764
4765
4766
4767
4768
4769
4770
4771
4772
4773
4774
4775
4776
4777
4778
4779
4780
4781
4782
4783
4784
4785
4786
4787
4788
4789
4790
4791
4792
4793
4794
4795
4796
4797
4798
4799
4800
4801
4802
4803
4804
4805
4806
4807
4808
4809
4810
4811
4812
4813
4814
4815
4816
4817
4818
4819
4820
4821
4822
4823
4824
4825
4826
4827
4828
4829
4830
4831
4832
4833
4834
4835
4836
4837
4838
4839
4840
4841
4842
4843
4844
4845
4846
4847
4848
4849
4850
4851
4852
4853
4854
4855
4856
4857
4858
4859
4860
4861
4862
4863
4864
4865
4866
4867
4868
4869
4870
4871
4872
4873
4874
4875
4876
4877
4878
4879
4880
4881
4882
4883
4884
4885
4886
4887
4888
4889
4890
4891
4892
4893
4894
4895
4896
4897
4898
4899
4900
4901
4902
4903
4904
4905
4906
4907
4908
4909
4910
4911
4912
4913
4914
4915
4916
4917
4918
4919
4920
4921
4922
4923
4924
4925
4926
4927
4928
4929
4930
4931
4932
4933
4934
4935
4936
4937
4938
4939
4940
4941
4942
4943
4944
4945
4946
4947
4948
4949
4950
4951
4952
4953
4954
4955
4956
4957
4958
4959
4960
4961
4962
4963
4964
4965
4966
4967
4968
4969
4970
4971
4972
4973
4974
4975
4976
4977
4978
4979
4980
4981
4982
4983
4984
4985
4986
4987
4988
4989
4990
4991
4992
4993
4994
4995
4996
4997
4998
4999
5000
5001
5002
5003
5004
5005
5006
5007
5008
5009
5010
5011
5012
5013
5014
5015
5016
5017
5018
5019
5020
5021
5022
5023
5024
5025
5026
5027
5028
5029
5030
5031
5032
5033
5034
5035
5036
5037
5038
5039
5040
5041
5042
5043
5044
5045
5046
5047
5048
5049
5050
5051
5052
5053
5054
5055
5056
5057
5058
5059
5060
5061
5062
5063
5064
5065
5066
5067
5068
5069
5070
5071
5072
5073
5074
5075
5076
5077
5078
5079
5080
5081
5082
5083
5084
5085
5086
5087
5088
5089
5090
5091
5092
5093
5094
5095
5096
5097
5098
5099
5100
5101
5102
5103
5104
5105
5106
5107
5108
5109
5110
5111
5112
5113
5114
5115
5116
5117
5118
5119
5120
5121
5122
5123
5124
5125
5126
5127
5128
5129
5130
5131
5132
5133
5134
5135
5136
5137
5138
5139
5140
5141
5142
5143
5144
5145
5146
5147
5148
5149
5150
5151
5152
5153
5154
5155
5156
5157
5158
5159
5160
5161
5162
5163
5164
5165
5166
5167
5168
5169
5170
5171
5172
5173
5174
5175
5176
5177
5178
5179
5180
5181
5182
5183
5184
5185
5186
5187
5188
5189
5190
5191
5192
5193
5194
5195
5196
5197
5198
5199
5200
5201
5202
5203
5204
5205
5206
5207
5208
5209
5210
5211
5212
5213
5214
5215
5216
5217
5218
5219
5220
5221
5222
5223
5224
5225
5226
5227
5228
5229
5230
5231
5232
5233
5234
5235
5236
5237
5238
5239
5240
5241
5242
5243
5244
5245
5246
5247
5248
5249
5250
5251
5252
5253
5254
5255
5256
5257
5258
5259
5260
5261
5262
5263
5264
5265
5266
5267
5268
5269
5270
5271
5272
5273
5274
5275
5276
5277
5278
5279
5280
5281
5282
5283
5284
5285
5286
5287
5288
5289
5290
5291
5292
5293
5294
5295
5296
5297
5298
5299
5300
5301
5302
5303
5304
5305
5306
5307
5308
5309
5310
5311
5312
5313
5314
5315
5316
5317
5318
5319
5320
5321
5322
5323
5324
5325
5326
5327
5328
5329
5330
5331
5332
5333
5334
5335
5336
5337
5338
5339
5340
5341
5342
5343
5344
5345
5346
5347
5348
5349
5350
5351
5352
5353
5354
5355
5356
5357
5358
5359
5360
5361
5362
5363
5364
5365
5366
5367
5368
5369
5370
5371
5372
5373
5374
5375
5376
5377
5378
5379
5380
5381
5382
5383
5384
5385
5386
5387
5388
5389
5390
5391
5392
5393
5394
5395
5396
5397
5398
5399
5400
5401
5402
5403
5404
5405
5406
5407
5408
5409
5410
5411
5412
5413
5414
5415
5416
5417
5418
5419
5420
5421
5422
5423
5424
5425
5426
5427
5428
5429
5430
5431
5432
5433
5434
5435
5436
5437
5438
5439
5440
5441
5442
5443
5444
5445
5446
5447
5448
5449
5450
5451
5452
5453
5454
5455
5456
5457
5458
5459
5460
5461
5462
5463
5464
5465
5466
5467
5468
5469
5470
5471
5472
5473
5474
5475
5476
5477
5478
5479
5480
5481
5482
5483
5484
5485
5486
5487
5488
5489
5490
5491
5492
5493
5494
5495
5496
5497
5498
5499
5500
5501
5502
5503
5504
5505
5506
5507
5508
5509
5510
5511
5512
5513
5514
5515
5516
5517
5518
5519
5520
5521
5522
5523
5524
5525
5526
5527
5528
5529
5530
5531
5532
5533
5534
5535
5536
5537
5538
5539
5540
5541
5542
5543
5544
5545
5546
5547
5548
5549
5550
5551
5552
5553
5554
5555
5556
5557
5558
5559
5560
5561
5562
5563
5564
5565
5566
5567
5568
5569
5570
5571
5572
5573
5574
5575
5576
5577
5578
5579
5580
5581
5582
5583
5584
5585
5586
5587
5588
5589
5590
5591
5592
5593
5594
5595
5596
5597
5598
5599
5600
5601
5602
5603
5604
5605
5606
5607
5608
5609
5610
5611
5612
5613
5614
5615
5616
5617
5618
5619
5620
5621
5622
5623
5624
5625
5626
5627
5628
5629
5630
5631
5632
5633
5634
5635
5636
5637
5638
5639
5640
5641
5642
5643
5644
5645
5646
5647
5648
5649
5650
5651
5652
5653
5654
5655
5656
5657
5658
5659
5660
5661
5662
5663
5664
5665
5666
5667
5668
5669
5670
5671
5672
5673
5674
5675
5676
5677
5678
5679
5680
5681
5682
5683
5684
5685
5686
5687
5688
5689
5690
5691
5692
5693
5694
5695
5696
5697
5698
5699
/*
 * Copyright (c) 2016-2020, NVIDIA CORPORATION.  All rights reserved.
 *
 * Permission is hereby granted, free of charge, to any person obtaining a
 * copy of this software and associated documentation files (the "Software"),
 * to deal in the Software without restriction, including without limitation
 * the rights to use, copy, modify, merge, publish, distribute, sublicense,
 * and/or sell copies of the Software, and to permit persons to whom the
 * Software is furnished to do so, subject to the following conditions:
 *
 * The above copyright notice and this permission notice shall be included in
 * all copies or substantial portions of the Software.
 *
 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.  IN NO EVENT SHALL
 * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
 * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER
 * DEALINGS IN THE SOFTWARE.
 */
/*
 * Function naming determines intended use:
 *
 *     <x>_r(void) : Returns the offset for register <x>.
 *
 *     <x>_o(void) : Returns the offset for element <x>.
 *
 *     <x>_w(void) : Returns the word offset for word (4 byte) element <x>.
 *
 *     <x>_<y>_s(void) : Returns size of field <y> of register <x> in bits.
 *
 *     <x>_<y>_f(u32 v) : Returns a value based on 'v' which has been shifted
 *         and masked to place it at field <y> of register <x>.  This value
 *         can be |'d with others to produce a full register value for
 *         register <x>.
 *
 *     <x>_<y>_m(void) : Returns a mask for field <y> of register <x>.  This
 *         value can be ~'d and then &'d to clear the value of field <y> for
 *         register <x>.
 *
 *     <x>_<y>_<z>_f(void) : Returns the constant value <z> after being shifted
 *         to place it at field <y> of register <x>.  This value can be |'d
 *         with others to produce a full register value for <x>.
 *
 *     <x>_<y>_v(u32 r) : Returns the value of field <y> from a full register
 *         <x> value 'r' after being shifted to place its LSB at bit 0.
 *         This value is suitable for direct comparison with other unshifted
 *         values appropriate for use in field <y> of register <x>.
 *
 *     <x>_<y>_<z>_v(void) : Returns the constant value for <z> defined for
 *         field <y> of register <x>.  This value is suitable for direct
 *         comparison with unshifted values appropriate for use in field <y>
 *         of register <x>.
 */
#ifndef _hw_gr_gv11b_h_
#define _hw_gr_gv11b_h_

static inline u32 gr_intr_r(void)
{
	return 0x00400100U;
}
static inline u32 gr_intr_notify_pending_f(void)
{
	return 0x1U;
}
static inline u32 gr_intr_notify_reset_f(void)
{
	return 0x1U;
}
static inline u32 gr_intr_semaphore_pending_f(void)
{
	return 0x2U;
}
static inline u32 gr_intr_semaphore_reset_f(void)
{
	return 0x2U;
}
static inline u32 gr_intr_illegal_method_pending_f(void)
{
	return 0x10U;
}
static inline u32 gr_intr_illegal_method_reset_f(void)
{
	return 0x10U;
}
static inline u32 gr_intr_illegal_notify_pending_f(void)
{
	return 0x40U;
}
static inline u32 gr_intr_illegal_notify_reset_f(void)
{
	return 0x40U;
}
static inline u32 gr_intr_firmware_method_f(u32 v)
{
	return (v & 0x1U) << 8U;
}
static inline u32 gr_intr_firmware_method_pending_f(void)
{
	return 0x100U;
}
static inline u32 gr_intr_firmware_method_reset_f(void)
{
	return 0x100U;
}
static inline u32 gr_intr_illegal_class_pending_f(void)
{
	return 0x20U;
}
static inline u32 gr_intr_illegal_class_reset_f(void)
{
	return 0x20U;
}
static inline u32 gr_intr_fecs_error_pending_f(void)
{
	return 0x80000U;
}
static inline u32 gr_intr_fecs_error_reset_f(void)
{
	return 0x80000U;
}
static inline u32 gr_intr_class_error_pending_f(void)
{
	return 0x100000U;
}
static inline u32 gr_intr_class_error_reset_f(void)
{
	return 0x100000U;
}
static inline u32 gr_intr_exception_pending_f(void)
{
	return 0x200000U;
}
static inline u32 gr_intr_exception_reset_f(void)
{
	return 0x200000U;
}
static inline u32 gr_fecs_intr_r(void)
{
	return 0x00400144U;
}
static inline u32 gr_class_error_r(void)
{
	return 0x00400110U;
}
static inline u32 gr_class_error_code_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_intr_nonstall_r(void)
{
	return 0x00400120U;
}
static inline u32 gr_intr_nonstall_trap_pending_f(void)
{
	return 0x2U;
}
static inline u32 gr_intr_en_r(void)
{
	return 0x0040013cU;
}
static inline u32 gr_exception_r(void)
{
	return 0x00400108U;
}
static inline u32 gr_exception_fe_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_exception_gpc_m(void)
{
	return 0x1U << 24U;
}
static inline u32 gr_exception_memfmt_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_exception_ds_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_exception_sked_m(void)
{
	return 0x1U << 8U;
}
static inline u32 gr_exception_pd_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_exception_scc_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_exception_ssync_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_exception_mme_m(void)
{
	return 0x1U << 7U;
}
static inline u32 gr_exception1_r(void)
{
	return 0x00400118U;
}
static inline u32 gr_exception1_gpc_0_pending_f(void)
{
	return 0x1U;
}
static inline u32 gr_exception2_r(void)
{
	return 0x0040011cU;
}
static inline u32 gr_exception_en_r(void)
{
	return 0x00400138U;
}
static inline u32 gr_exception_en_fe_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_exception_en_fe_enabled_f(void)
{
	return 0x1U;
}
static inline u32 gr_exception_en_gpc_m(void)
{
	return 0x1U << 24U;
}
static inline u32 gr_exception_en_gpc_enabled_f(void)
{
	return 0x1000000U;
}
static inline u32 gr_exception_en_memfmt_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_exception_en_memfmt_enabled_f(void)
{
	return 0x2U;
}
static inline u32 gr_exception_en_ds_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_exception_en_ds_enabled_f(void)
{
	return 0x10U;
}
static inline u32 gr_exception_en_pd_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_exception_en_pd_enabled_f(void)
{
	return 0x4U;
}
static inline u32 gr_exception_en_scc_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_exception_en_scc_enabled_f(void)
{
	return 0x8U;
}
static inline u32 gr_exception_en_ssync_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_exception_en_ssync_enabled_f(void)
{
	return 0x20U;
}
static inline u32 gr_exception_en_mme_m(void)
{
	return 0x1U << 7U;
}
static inline u32 gr_exception_en_mme_enabled_f(void)
{
	return 0x80U;
}
static inline u32 gr_exception_en_sked_m(void)
{
	return 0x1U << 8U;
}
static inline u32 gr_exception_en_sked_enabled_f(void)
{
	return 0x100U;
}
static inline u32 gr_exception1_en_r(void)
{
	return 0x00400130U;
}
static inline u32 gr_exception2_en_r(void)
{
	return 0x00400134U;
}
static inline u32 gr_gpfifo_ctl_r(void)
{
	return 0x00400500U;
}
static inline u32 gr_gpfifo_ctl_access_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpfifo_ctl_access_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpfifo_ctl_access_enabled_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpfifo_ctl_semaphore_access_f(u32 v)
{
	return (v & 0x1U) << 16U;
}
static inline u32 gr_gpfifo_ctl_semaphore_access_enabled_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpfifo_ctl_semaphore_access_enabled_f(void)
{
	return 0x10000U;
}
static inline u32 gr_gpfifo_status_r(void)
{
	return 0x00400504U;
}
static inline u32 gr_trapped_addr_r(void)
{
	return 0x00400704U;
}
static inline u32 gr_trapped_addr_mthd_v(u32 r)
{
	return (r >> 2U) & 0xfffU;
}
static inline u32 gr_trapped_addr_subch_v(u32 r)
{
	return (r >> 16U) & 0x7U;
}
static inline u32 gr_trapped_addr_mme_generated_v(u32 r)
{
	return (r >> 20U) & 0x1U;
}
static inline u32 gr_trapped_addr_datahigh_v(u32 r)
{
	return (r >> 24U) & 0x1U;
}
static inline u32 gr_trapped_addr_priv_v(u32 r)
{
	return (r >> 28U) & 0x1U;
}
static inline u32 gr_trapped_addr_status_v(u32 r)
{
	return (r >> 31U) & 0x1U;
}
static inline u32 gr_trapped_data_lo_r(void)
{
	return 0x00400708U;
}
static inline u32 gr_trapped_data_hi_r(void)
{
	return 0x0040070cU;
}
static inline u32 gr_trapped_data_mme_r(void)
{
	return 0x00400710U;
}
static inline u32 gr_trapped_data_mme_pc_v(u32 r)
{
	return (r >> 0U) & 0xfffU;
}
static inline u32 gr_status_r(void)
{
	return 0x00400700U;
}
static inline u32 gr_status_fe_method_upper_v(u32 r)
{
	return (r >> 1U) & 0x1U;
}
static inline u32 gr_status_fe_method_lower_v(u32 r)
{
	return (r >> 2U) & 0x1U;
}
static inline u32 gr_status_fe_method_lower_idle_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_status_fe_gi_v(u32 r)
{
	return (r >> 21U) & 0x1U;
}
static inline u32 gr_status_mask_r(void)
{
	return 0x00400610U;
}
static inline u32 gr_status_1_r(void)
{
	return 0x00400604U;
}
static inline u32 gr_status_2_r(void)
{
	return 0x00400608U;
}
static inline u32 gr_engine_status_r(void)
{
	return 0x0040060cU;
}
static inline u32 gr_engine_status_value_busy_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_be0_becs_be_exception_r(void)
{
	return 0x00410204U;
}
static inline u32 gr_pri_be0_becs_be_exception_en_r(void)
{
	return 0x00410208U;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_exception_r(void)
{
	return 0x00502c90U;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_exception_en_r(void)
{
	return 0x00502c94U;
}
static inline u32 gr_pri_gpc0_tpc0_tpccs_tpc_exception_r(void)
{
	return 0x00504508U;
}
static inline u32 gr_pri_gpc0_tpc0_tpccs_tpc_exception_en_r(void)
{
	return 0x0050450cU;
}
static inline u32 gr_activity_0_r(void)
{
	return 0x00400380U;
}
static inline u32 gr_activity_1_r(void)
{
	return 0x00400384U;
}
static inline u32 gr_activity_2_r(void)
{
	return 0x00400388U;
}
static inline u32 gr_activity_4_r(void)
{
	return 0x00400390U;
}
static inline u32 gr_activity_4_gpc0_s(void)
{
	return 3U;
}
static inline u32 gr_activity_4_gpc0_f(u32 v)
{
	return (v & 0x7U) << 0U;
}
static inline u32 gr_activity_4_gpc0_m(void)
{
	return 0x7U << 0U;
}
static inline u32 gr_activity_4_gpc0_v(u32 r)
{
	return (r >> 0U) & 0x7U;
}
static inline u32 gr_activity_4_gpc0_empty_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_activity_4_gpc0_preempted_v(void)
{
	return 0x00000004U;
}
static inline u32 gr_pri_gpc0_gcc_dbg_r(void)
{
	return 0x00501000U;
}
static inline u32 gr_pri_gpcs_gcc_dbg_r(void)
{
	return 0x00419000U;
}
static inline u32 gr_pri_gpcs_gcc_dbg_invalidate_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cache_control_r(void)
{
	return 0x0050433cU;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cache_control_r(void)
{
	return 0x00419b3cU;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cache_control_invalidate_cache_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_sked_activity_r(void)
{
	return 0x00407054U;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity0_r(void)
{
	return 0x00502c80U;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity1_r(void)
{
	return 0x00502c84U;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity2_r(void)
{
	return 0x00502c88U;
}
static inline u32 gr_pri_gpc0_gpccs_gpc_activity3_r(void)
{
	return 0x00502c8cU;
}
static inline u32 gr_pri_gpc0_tpc0_tpccs_tpc_activity_0_r(void)
{
	return 0x00504500U;
}
static inline u32 gr_pri_gpc0_tpc1_tpccs_tpc_activity_0_r(void)
{
	return 0x00504d00U;
}
static inline u32 gr_pri_gpc0_tpcs_tpccs_tpc_activity_0_r(void)
{
	return 0x00501d00U;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_0_r(void)
{
	return 0x0041ac80U;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_1_r(void)
{
	return 0x0041ac84U;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_2_r(void)
{
	return 0x0041ac88U;
}
static inline u32 gr_pri_gpcs_gpccs_gpc_activity_3_r(void)
{
	return 0x0041ac8cU;
}
static inline u32 gr_pri_gpcs_tpc0_tpccs_tpc_activity_0_r(void)
{
	return 0x0041c500U;
}
static inline u32 gr_pri_gpcs_tpc1_tpccs_tpc_activity_0_r(void)
{
	return 0x0041cd00U;
}
static inline u32 gr_pri_gpcs_tpcs_tpccs_tpc_activity_0_r(void)
{
	return 0x00419d00U;
}
static inline u32 gr_pri_be0_becs_be_activity0_r(void)
{
	return 0x00410200U;
}
static inline u32 gr_pri_be1_becs_be_activity0_r(void)
{
	return 0x00410600U;
}
static inline u32 gr_pri_bes_becs_be_activity0_r(void)
{
	return 0x00408a00U;
}
static inline u32 gr_pri_ds_mpipe_status_r(void)
{
	return 0x00405858U;
}
static inline u32 gr_pri_fe_go_idle_info_r(void)
{
	return 0x00404194U;
}
static inline u32 gr_pri_fe_chip_def_info_r(void)
{
	return 0x00404030U;
}
static inline u32 gr_pri_fe_chip_def_info_max_veid_count_v(u32 r)
{
	return (r >> 0U) & 0xfffU;
}
static inline u32 gr_pri_fe_chip_def_info_max_veid_count_init_v(void)
{
	return 0x00000040U;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_tex_subunits_status_r(void)
{
	return 0x00504238U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_r(void)
{
	return 0x00504358U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp0_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp1_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp2_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp3_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp4_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp5_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp6_m(void)
{
	return 0x1U << 6U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_qrfdp7_m(void)
{
	return 0x1U << 7U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp0_m(void)
{
	return 0x1U << 8U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp1_m(void)
{
	return 0x1U << 9U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp2_m(void)
{
	return 0x1U << 10U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp3_m(void)
{
	return 0x1U << 11U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp4_m(void)
{
	return 0x1U << 12U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp5_m(void)
{
	return 0x1U << 13U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp6_m(void)
{
	return 0x1U << 14U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_qrfdp7_m(void)
{
	return 0x1U << 15U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 24U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 26U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_corrected_err_count_r(void)
{
	return 0x0050435cU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_uncorrected_err_count_r(void)
{
	return 0x00504360U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_r(void)
{
	return 0x0050436cU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_corrected_err_el1_0_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_corrected_err_el1_1_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_uncorrected_err_el1_0_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_uncorrected_err_el1_1_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 8U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 10U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_corrected_err_count_r(void)
{
	return 0x00504370U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_uncorrected_err_count_r(void)
{
	return 0x00504374U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_r(void)
{
	return 0x0050464cU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l0_data_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l0_predecode_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l1_data_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_l1_predecode_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l0_data_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l0_predecode_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l1_data_m(void)
{
	return 0x1U << 6U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_l1_predecode_m(void)
{
	return 0x1U << 7U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 16U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 18U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_corrected_err_count_r(void)
{
	return 0x00504650U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_uncorrected_err_count_r(void)
{
	return 0x00504654U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_r(void)
{
	return 0x00504624U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_el1_0_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_el1_1_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_el1_0_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_el1_1_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_pixrpf_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_miss_fifo_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_pixrpf_m(void)
{
	return 0x1U << 6U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_miss_fifo_m(void)
{
	return 0x1U << 7U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 8U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 10U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_corrected_err_count_r(void)
{
	return 0x00504628U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_uncorrected_err_count_r(void)
{
	return 0x0050462cU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_r(void)
{
	return 0x00504638U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_warp_sm0_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_warp_sm1_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_barrier_sm0_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_barrier_sm1_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_warp_sm0_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_warp_sm1_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_barrier_sm0_m(void)
{
	return 0x1U << 6U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_barrier_sm1_m(void)
{
	return 0x1U << 7U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 16U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 18U) & 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_corrected_err_count_r(void)
{
	return 0x0050463cU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_uncorrected_err_count_r(void)
{
	return 0x00504640U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_r(void)
{
	return 0x00419b54U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp0_task_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp1_task_f(void)
{
	return 0x2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp2_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp2_task_f(void)
{
	return 0x4U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp3_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp3_task_f(void)
{
	return 0x8U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp4_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp4_task_f(void)
{
	return 0x10U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp5_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp5_task_f(void)
{
	return 0x20U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp6_f(u32 v)
{
	return (v & 0x1U) << 6U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp6_task_f(void)
{
	return 0x40U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp7_f(u32 v)
{
	return (v & 0x1U) << 7U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_lrf_ecc_control_scrub_qrfdp7_task_f(void)
{
	return 0x80U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_r(void)
{
	return 0x00504354U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp0_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp1_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp2_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp2_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp3_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp3_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp4_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp4_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp5_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp5_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp6_f(u32 v)
{
	return (v & 0x1U) << 6U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp6_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp7_f(u32 v)
{
	return (v & 0x1U) << 7U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_lrf_ecc_control_scrub_qrfdp7_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_data_ecc_control_r(void)
{
	return 0x00419b68U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_data_ecc_control_scrub_el1_0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_data_ecc_control_scrub_el1_0_task_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_data_ecc_control_scrub_el1_1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_data_ecc_control_scrub_el1_1_task_f(void)
{
	return 0x2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_control_r(void)
{
	return 0x00504368U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_control_scrub_el1_0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_control_scrub_el1_0_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_control_scrub_el1_1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_data_ecc_control_scrub_el1_1_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_r(void)
{
	return 0x00419e20U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_el1_0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_el1_0_task_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_el1_1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_el1_1_task_f(void)
{
	return 0x2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_pixprf_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_pixprf_task_f(void)
{
	return 0x10U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_miss_fifo_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_l1_tag_ecc_control_scrub_miss_fifo_task_f(void)
{
	return 0x20U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_r(void)
{
	return 0x00504620U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_el1_0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_el1_0_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_el1_1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_el1_1_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_pixprf_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_pixprf_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_miss_fifo_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_l1_tag_ecc_control_scrub_miss_fifo_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_r(void)
{
	return 0x00419e34U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_warp_sm0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_warp_sm0_task_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_warp_sm1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_warp_sm1_task_f(void)
{
	return 0x2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_barrier_sm0_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_barrier_sm0_task_f(void)
{
	return 0x4U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_barrier_sm1_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_cbu_ecc_control_scrub_barrier_sm1_task_f(void)
{
	return 0x8U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_r(void)
{
	return 0x00504634U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_warp_sm0_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_warp_sm0_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_warp_sm1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_warp_sm1_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_barrier_sm0_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_barrier_sm0_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_barrier_sm1_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_cbu_ecc_control_scrub_barrier_sm1_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_r(void)
{
	return 0x00419e48U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l0_data_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l0_data_task_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l0_predecode_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l0_predecode_task_f(void)
{
	return 0x2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l1_data_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l1_data_task_f(void)
{
	return 0x4U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l1_predecode_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_pri_gpcs_tpcs_sm_icache_ecc_control_scrub_l1_predecode_task_f(void)
{
	return 0x8U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_r(void)
{
	return 0x00504648U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l0_data_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l0_data_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l0_predecode_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l0_predecode_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l1_data_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l1_data_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l1_predecode_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_pri_gpc0_tpc0_sm_icache_ecc_control_scrub_l1_predecode_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_r(void)
{
	return 0x005042c4U;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_sel_default_f(void)
{
	return 0x0U;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_sel_pipe0_f(void)
{
	return 0x1U;
}
static inline u32 gr_pri_gpc0_tpc0_tex_m_routing_sel_pipe1_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpc0_tpc0_mpc_hww_esr_r(void)
{
	return 0x00504430U;
}
static inline u32 gr_gpc0_tpc0_mpc_hww_esr_reset_trigger_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_gpc0_tpc0_mpc_hww_esr_info_r(void)
{
	return 0x00504434U;
}
static inline u32 gr_gpc0_tpc0_mpc_hww_esr_info_veid_v(u32 r)
{
	return (r >> 0U) & 0x3fU;
}
static inline u32 gr_pri_be0_crop_status1_r(void)
{
	return 0x00410134U;
}
static inline u32 gr_pri_bes_crop_status1_r(void)
{
	return 0x00408934U;
}
static inline u32 gr_pri_be0_zrop_status_r(void)
{
	return 0x00410048U;
}
static inline u32 gr_pri_be0_zrop_status2_r(void)
{
	return 0x0041004cU;
}
static inline u32 gr_pri_bes_zrop_status_r(void)
{
	return 0x00408848U;
}
static inline u32 gr_pri_bes_zrop_status2_r(void)
{
	return 0x0040884cU;
}
static inline u32 gr_pipe_bundle_address_r(void)
{
	return 0x00400200U;
}
static inline u32 gr_pipe_bundle_address_value_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pipe_bundle_address_veid_f(u32 v)
{
	return (v & 0x3fU) << 20U;
}
static inline u32 gr_pipe_bundle_address_veid_w(void)
{
	return 0U;
}
static inline u32 gr_pipe_bundle_data_r(void)
{
	return 0x00400204U;
}
static inline u32 gr_pipe_bundle_config_r(void)
{
	return 0x00400208U;
}
static inline u32 gr_pipe_bundle_config_override_pipe_mode_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_pipe_bundle_config_override_pipe_mode_enabled_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_fe_hww_esr_r(void)
{
	return 0x00404000U;
}
static inline u32 gr_fe_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_fe_hww_esr_en_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_fe_hww_esr_info_r(void)
{
	return 0x004041b0U;
}
static inline u32 gr_gpcs_tpcs_sms_hww_global_esr_report_mask_r(void)
{
	return 0x00419eacU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_r(void)
{
	return 0x0050472cU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_multiple_warp_errors_report_f(void)
{
	return 0x4U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_bpt_int_report_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_bpt_pause_report_f(void)
{
	return 0x20U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_single_step_complete_report_f(void)
{
	return 0x40U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_report_mask_error_in_trap_report_f(void)
{
	return 0x100U;
}
static inline u32 gr_gpcs_tpcs_sms_hww_global_esr_r(void)
{
	return 0x00419eb4U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_r(void)
{
	return 0x00504734U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_int_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_int_pending_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_pause_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_bpt_pause_pending_f(void)
{
	return 0x20U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_single_step_complete_m(void)
{
	return 0x1U << 6U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_single_step_complete_pending_f(void)
{
	return 0x40U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_multiple_warp_errors_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_multiple_warp_errors_pending_f(void)
{
	return 0x4U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_error_in_trap_m(void)
{
	return 0x1U << 8U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_global_esr_error_in_trap_pending_f(void)
{
	return 0x100U;
}
static inline u32 gr_fe_go_idle_timeout_r(void)
{
	return 0x00404154U;
}
static inline u32 gr_fe_go_idle_timeout_count_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_fe_go_idle_timeout_count_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fe_go_idle_timeout_count_prod_f(void)
{
	return 0x1800U;
}
static inline u32 gr_fe_object_table_r(u32 i)
{
	return 0x00404200U + i*4U;
}
static inline u32 gr_fe_object_table_nvclass_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_fe_tpc_fs_r(u32 i)
{
	return 0x0040a200U + i*4U;
}
static inline u32 gr_fe_tpc_pesmask_r(void)
{
	return 0x0040a260U;
}
static inline u32 gr_fe_tpc_pesmask_pesid_f(u32 v)
{
	return (v & 0x3fU) << 24U;
}
static inline u32 gr_fe_tpc_pesmask_gpcid_f(u32 v)
{
	return (v & 0xffU) << 16U;
}
static inline u32 gr_fe_tpc_pesmask_action_m(void)
{
	return 0x1U << 30U;
}
static inline u32 gr_fe_tpc_pesmask_action_write_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_fe_tpc_pesmask_action_read_f(void)
{
	return 0x0U;
}
static inline u32 gr_fe_tpc_pesmask_req_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_fe_tpc_pesmask_req_send_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_fe_tpc_pesmask_mask_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_pri_mme_shadow_raw_index_r(void)
{
	return 0x00404488U;
}
static inline u32 gr_pri_mme_shadow_raw_index_write_trigger_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_pri_mme_shadow_raw_data_r(void)
{
	return 0x0040448cU;
}
static inline u32 gr_mme_hww_esr_r(void)
{
	return 0x00404490U;
}
static inline u32 gr_mme_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_mme_hww_esr_en_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_mme_hww_esr_info_r(void)
{
	return 0x00404494U;
}
static inline u32 gr_memfmt_hww_esr_r(void)
{
	return 0x00404600U;
}
static inline u32 gr_memfmt_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_memfmt_hww_esr_en_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_fecs_cpuctl_r(void)
{
	return 0x00409100U;
}
static inline u32 gr_fecs_cpuctl_startcpu_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_fecs_cpuctl_alias_r(void)
{
	return 0x00409130U;
}
static inline u32 gr_fecs_cpuctl_alias_startcpu_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_fecs_dmactl_r(void)
{
	return 0x0040910cU;
}
static inline u32 gr_fecs_dmactl_require_ctx_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_fecs_dmactl_dmem_scrubbing_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_fecs_dmactl_imem_scrubbing_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_fecs_os_r(void)
{
	return 0x00409080U;
}
static inline u32 gr_fecs_idlestate_r(void)
{
	return 0x0040904cU;
}
static inline u32 gr_fecs_mailbox0_r(void)
{
	return 0x00409040U;
}
static inline u32 gr_fecs_mailbox1_r(void)
{
	return 0x00409044U;
}
static inline u32 gr_fecs_irqstat_r(void)
{
	return 0x00409008U;
}
static inline u32 gr_fecs_irqmode_r(void)
{
	return 0x0040900cU;
}
static inline u32 gr_fecs_irqmask_r(void)
{
	return 0x00409018U;
}
static inline u32 gr_fecs_irqdest_r(void)
{
	return 0x0040901cU;
}
static inline u32 gr_fecs_curctx_r(void)
{
	return 0x00409050U;
}
static inline u32 gr_fecs_nxtctx_r(void)
{
	return 0x00409054U;
}
static inline u32 gr_fecs_engctl_r(void)
{
	return 0x004090a4U;
}
static inline u32 gr_fecs_debug1_r(void)
{
	return 0x00409090U;
}
static inline u32 gr_fecs_debuginfo_r(void)
{
	return 0x00409094U;
}
static inline u32 gr_fecs_icd_cmd_r(void)
{
	return 0x00409200U;
}
static inline u32 gr_fecs_icd_cmd_opc_s(void)
{
	return 4U;
}
static inline u32 gr_fecs_icd_cmd_opc_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_fecs_icd_cmd_opc_m(void)
{
	return 0xfU << 0U;
}
static inline u32 gr_fecs_icd_cmd_opc_v(u32 r)
{
	return (r >> 0U) & 0xfU;
}
static inline u32 gr_fecs_icd_cmd_opc_rreg_f(void)
{
	return 0x8U;
}
static inline u32 gr_fecs_icd_cmd_opc_rstat_f(void)
{
	return 0xeU;
}
static inline u32 gr_fecs_icd_cmd_idx_f(u32 v)
{
	return (v & 0x1fU) << 8U;
}
static inline u32 gr_fecs_icd_rdata_r(void)
{
	return 0x0040920cU;
}
static inline u32 gr_fecs_imemc_r(u32 i)
{
	return 0x00409180U + i*16U;
}
static inline u32 gr_fecs_imemc_offs_f(u32 v)
{
	return (v & 0x3fU) << 2U;
}
static inline u32 gr_fecs_imemc_blk_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_fecs_imemc_aincw_f(u32 v)
{
	return (v & 0x1U) << 24U;
}
static inline u32 gr_fecs_imemd_r(u32 i)
{
	return 0x00409184U + i*16U;
}
static inline u32 gr_fecs_imemt_r(u32 i)
{
	return 0x00409188U + i*16U;
}
static inline u32 gr_fecs_imemt_tag_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_fecs_dmemc_r(u32 i)
{
	return 0x004091c0U + i*8U;
}
static inline u32 gr_fecs_dmemc_offs_s(void)
{
	return 6U;
}
static inline u32 gr_fecs_dmemc_offs_f(u32 v)
{
	return (v & 0x3fU) << 2U;
}
static inline u32 gr_fecs_dmemc_offs_m(void)
{
	return 0x3fU << 2U;
}
static inline u32 gr_fecs_dmemc_offs_v(u32 r)
{
	return (r >> 2U) & 0x3fU;
}
static inline u32 gr_fecs_dmemc_blk_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_fecs_dmemc_aincw_f(u32 v)
{
	return (v & 0x1U) << 24U;
}
static inline u32 gr_fecs_dmemd_r(u32 i)
{
	return 0x004091c4U + i*8U;
}
static inline u32 gr_fecs_dmatrfbase_r(void)
{
	return 0x00409110U;
}
static inline u32 gr_fecs_dmatrfmoffs_r(void)
{
	return 0x00409114U;
}
static inline u32 gr_fecs_dmatrffboffs_r(void)
{
	return 0x0040911cU;
}
static inline u32 gr_fecs_dmatrfcmd_r(void)
{
	return 0x00409118U;
}
static inline u32 gr_fecs_dmatrfcmd_imem_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_fecs_dmatrfcmd_write_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_fecs_dmatrfcmd_size_f(u32 v)
{
	return (v & 0x7U) << 8U;
}
static inline u32 gr_fecs_dmatrfcmd_ctxdma_f(u32 v)
{
	return (v & 0x7U) << 12U;
}
static inline u32 gr_fecs_bootvec_r(void)
{
	return 0x00409104U;
}
static inline u32 gr_fecs_bootvec_vec_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_fecs_falcon_hwcfg_r(void)
{
	return 0x00409108U;
}
static inline u32 gr_gpcs_gpccs_falcon_hwcfg_r(void)
{
	return 0x0041a108U;
}
static inline u32 gr_fecs_falcon_rm_r(void)
{
	return 0x00409084U;
}
static inline u32 gr_fecs_current_ctx_r(void)
{
	return 0x00409b00U;
}
static inline u32 gr_fecs_current_ctx_ptr_f(u32 v)
{
	return (v & 0xfffffffU) << 0U;
}
static inline u32 gr_fecs_current_ctx_ptr_v(u32 r)
{
	return (r >> 0U) & 0xfffffffU;
}
static inline u32 gr_fecs_current_ctx_target_s(void)
{
	return 2U;
}
static inline u32 gr_fecs_current_ctx_target_f(u32 v)
{
	return (v & 0x3U) << 28U;
}
static inline u32 gr_fecs_current_ctx_target_m(void)
{
	return 0x3U << 28U;
}
static inline u32 gr_fecs_current_ctx_target_v(u32 r)
{
	return (r >> 28U) & 0x3U;
}
static inline u32 gr_fecs_current_ctx_target_vid_mem_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_current_ctx_target_sys_mem_coh_f(void)
{
	return 0x20000000U;
}
static inline u32 gr_fecs_current_ctx_target_sys_mem_ncoh_f(void)
{
	return 0x30000000U;
}
static inline u32 gr_fecs_current_ctx_valid_s(void)
{
	return 1U;
}
static inline u32 gr_fecs_current_ctx_valid_f(u32 v)
{
	return (v & 0x1U) << 31U;
}
static inline u32 gr_fecs_current_ctx_valid_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_fecs_current_ctx_valid_v(u32 r)
{
	return (r >> 31U) & 0x1U;
}
static inline u32 gr_fecs_current_ctx_valid_false_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_method_data_r(void)
{
	return 0x00409500U;
}
static inline u32 gr_fecs_method_push_r(void)
{
	return 0x00409504U;
}
static inline u32 gr_fecs_method_push_adr_f(u32 v)
{
	return (v & 0xfffU) << 0U;
}
static inline u32 gr_fecs_method_push_adr_bind_pointer_v(void)
{
	return 0x00000003U;
}
static inline u32 gr_fecs_method_push_adr_bind_pointer_f(void)
{
	return 0x3U;
}
static inline u32 gr_fecs_method_push_adr_discover_image_size_v(void)
{
	return 0x00000010U;
}
static inline u32 gr_fecs_method_push_adr_wfi_golden_save_v(void)
{
	return 0x00000009U;
}
static inline u32 gr_fecs_method_push_adr_restore_golden_v(void)
{
	return 0x00000015U;
}
static inline u32 gr_fecs_method_push_adr_discover_zcull_image_size_v(void)
{
	return 0x00000016U;
}
static inline u32 gr_fecs_method_push_adr_discover_pm_image_size_v(void)
{
	return 0x00000025U;
}
static inline u32 gr_fecs_method_push_adr_discover_reglist_image_size_v(void)
{
	return 0x00000030U;
}
static inline u32 gr_fecs_method_push_adr_set_reglist_bind_instance_v(void)
{
	return 0x00000031U;
}
static inline u32 gr_fecs_method_push_adr_set_reglist_virtual_address_v(void)
{
	return 0x00000032U;
}
static inline u32 gr_fecs_method_push_adr_stop_ctxsw_v(void)
{
	return 0x00000038U;
}
static inline u32 gr_fecs_method_push_adr_start_ctxsw_v(void)
{
	return 0x00000039U;
}
static inline u32 gr_fecs_method_push_adr_set_watchdog_timeout_f(void)
{
	return 0x21U;
}
static inline u32 gr_fecs_method_push_adr_discover_preemption_image_size_v(void)
{
	return 0x0000001aU;
}
static inline u32 gr_fecs_method_push_adr_halt_pipeline_v(void)
{
	return 0x00000004U;
}
static inline u32 gr_fecs_method_push_adr_configure_interrupt_completion_option_v(void)
{
	return 0x0000003aU;
}
static inline u32 gr_fecs_host_int_status_r(void)
{
	return 0x00409c18U;
}
static inline u32 gr_fecs_host_int_status_fault_during_ctxsw_f(u32 v)
{
	return (v & 0x1U) << 16U;
}
static inline u32 gr_fecs_host_int_status_umimp_firmware_method_f(u32 v)
{
	return (v & 0x1U) << 17U;
}
static inline u32 gr_fecs_host_int_status_umimp_illegal_method_f(u32 v)
{
	return (v & 0x1U) << 18U;
}
static inline u32 gr_fecs_host_int_status_watchdog_active_f(void)
{
	return 0x80000U;
}
static inline u32 gr_fecs_host_int_status_ctxsw_intr_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_fecs_host_int_status_ecc_corrected_f(u32 v)
{
	return (v & 0x1U) << 21U;
}
static inline u32 gr_fecs_host_int_status_ecc_corrected_m(void)
{
	return 0x1U << 21U;
}
static inline u32 gr_fecs_host_int_status_ecc_uncorrected_f(u32 v)
{
	return (v & 0x1U) << 22U;
}
static inline u32 gr_fecs_host_int_status_ecc_uncorrected_m(void)
{
	return 0x1U << 22U;
}
static inline u32 gr_fecs_host_int_clear_r(void)
{
	return 0x00409c20U;
}
static inline u32 gr_fecs_host_int_clear_ctxsw_intr1_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_fecs_host_int_clear_ctxsw_intr1_clear_f(void)
{
	return 0x2U;
}
static inline u32 gr_fecs_host_int_enable_r(void)
{
	return 0x00409c24U;
}
static inline u32 gr_fecs_host_int_enable_ctxsw_intr1_enable_f(void)
{
	return 0x2U;
}
static inline u32 gr_fecs_host_int_enable_fault_during_ctxsw_enable_f(void)
{
	return 0x10000U;
}
static inline u32 gr_fecs_host_int_enable_umimp_firmware_method_enable_f(void)
{
	return 0x20000U;
}
static inline u32 gr_fecs_host_int_enable_umimp_illegal_method_enable_f(void)
{
	return 0x40000U;
}
static inline u32 gr_fecs_host_int_enable_watchdog_enable_f(void)
{
	return 0x80000U;
}
static inline u32 gr_fecs_host_int_enable_flush_when_busy_enable_f(void)
{
	return 0x100000U;
}
static inline u32 gr_fecs_host_int_enable_ecc_corrected_enable_f(void)
{
	return 0x200000U;
}
static inline u32 gr_fecs_host_int_enable_ecc_uncorrected_enable_f(void)
{
	return 0x400000U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_r(void)
{
	return 0x00409614U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_halt_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_halt_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_halt_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_engine_reset_disabled_f(void)
{
	return 0x10U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_engine_reset_disabled_f(void)
{
	return 0x20U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_engine_reset_disabled_f(void)
{
	return 0x40U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_context_reset_enabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_sys_context_reset_disabled_f(void)
{
	return 0x100U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_context_reset_enabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_gpc_context_reset_disabled_f(void)
{
	return 0x200U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_s(void)
{
	return 1U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_f(u32 v)
{
	return (v & 0x1U) << 10U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_m(void)
{
	return 0x1U << 10U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_v(u32 r)
{
	return (r >> 10U) & 0x1U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_enabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fecs_ctxsw_reset_ctl_be_context_reset_disabled_f(void)
{
	return 0x400U;
}
static inline u32 gr_fecs_ctx_state_store_major_rev_id_r(void)
{
	return 0x0040960cU;
}
static inline u32 gr_fecs_ctxsw_mailbox_r(u32 i)
{
	return 0x00409800U + i*4U;
}
static inline u32 gr_fecs_ctxsw_mailbox__size_1_v(void)
{
	return 0x00000010U;
}
static inline u32 gr_fecs_ctxsw_mailbox_value_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_fecs_ctxsw_mailbox_value_pass_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_fecs_ctxsw_mailbox_value_fail_v(void)
{
	return 0x00000002U;
}
static inline u32 gr_fecs_ctxsw_mailbox_set_r(u32 i)
{
	return 0x004098c0U + i*4U;
}
static inline u32 gr_fecs_ctxsw_mailbox_set_value_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_fecs_ctxsw_mailbox_clear_r(u32 i)
{
	return 0x00409840U + i*4U;
}
static inline u32 gr_fecs_ctxsw_mailbox_clear_value_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_fecs_fs_r(void)
{
	return 0x00409604U;
}
static inline u32 gr_fecs_fs_num_available_gpcs_s(void)
{
	return 5U;
}
static inline u32 gr_fecs_fs_num_available_gpcs_f(u32 v)
{
	return (v & 0x1fU) << 0U;
}
static inline u32 gr_fecs_fs_num_available_gpcs_m(void)
{
	return 0x1fU << 0U;
}
static inline u32 gr_fecs_fs_num_available_gpcs_v(u32 r)
{
	return (r >> 0U) & 0x1fU;
}
static inline u32 gr_fecs_fs_num_available_fbps_s(void)
{
	return 5U;
}
static inline u32 gr_fecs_fs_num_available_fbps_f(u32 v)
{
	return (v & 0x1fU) << 16U;
}
static inline u32 gr_fecs_fs_num_available_fbps_m(void)
{
	return 0x1fU << 16U;
}
static inline u32 gr_fecs_fs_num_available_fbps_v(u32 r)
{
	return (r >> 16U) & 0x1fU;
}
static inline u32 gr_fecs_cfg_r(void)
{
	return 0x00409620U;
}
static inline u32 gr_fecs_cfg_imem_sz_v(u32 r)
{
	return (r >> 0U) & 0xffU;
}
static inline u32 gr_fecs_rc_lanes_r(void)
{
	return 0x00409880U;
}
static inline u32 gr_fecs_rc_lanes_num_chains_s(void)
{
	return 6U;
}
static inline u32 gr_fecs_rc_lanes_num_chains_f(u32 v)
{
	return (v & 0x3fU) << 0U;
}
static inline u32 gr_fecs_rc_lanes_num_chains_m(void)
{
	return 0x3fU << 0U;
}
static inline u32 gr_fecs_rc_lanes_num_chains_v(u32 r)
{
	return (r >> 0U) & 0x3fU;
}
static inline u32 gr_fecs_ctxsw_status_1_r(void)
{
	return 0x00409400U;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_s(void)
{
	return 1U;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_f(u32 v)
{
	return (v & 0x1U) << 12U;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_m(void)
{
	return 0x1U << 12U;
}
static inline u32 gr_fecs_ctxsw_status_1_arb_busy_v(u32 r)
{
	return (r >> 12U) & 0x1U;
}
static inline u32 gr_fecs_arb_ctx_adr_r(void)
{
	return 0x00409a24U;
}
static inline u32 gr_fecs_new_ctx_r(void)
{
	return 0x00409b04U;
}
static inline u32 gr_fecs_new_ctx_ptr_s(void)
{
	return 28U;
}
static inline u32 gr_fecs_new_ctx_ptr_f(u32 v)
{
	return (v & 0xfffffffU) << 0U;
}
static inline u32 gr_fecs_new_ctx_ptr_m(void)
{
	return 0xfffffffU << 0U;
}
static inline u32 gr_fecs_new_ctx_ptr_v(u32 r)
{
	return (r >> 0U) & 0xfffffffU;
}
static inline u32 gr_fecs_new_ctx_target_s(void)
{
	return 2U;
}
static inline u32 gr_fecs_new_ctx_target_f(u32 v)
{
	return (v & 0x3U) << 28U;
}
static inline u32 gr_fecs_new_ctx_target_m(void)
{
	return 0x3U << 28U;
}
static inline u32 gr_fecs_new_ctx_target_v(u32 r)
{
	return (r >> 28U) & 0x3U;
}
static inline u32 gr_fecs_new_ctx_valid_s(void)
{
	return 1U;
}
static inline u32 gr_fecs_new_ctx_valid_f(u32 v)
{
	return (v & 0x1U) << 31U;
}
static inline u32 gr_fecs_new_ctx_valid_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_fecs_new_ctx_valid_v(u32 r)
{
	return (r >> 31U) & 0x1U;
}
static inline u32 gr_fecs_arb_ctx_ptr_r(void)
{
	return 0x00409a0cU;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_s(void)
{
	return 28U;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_f(u32 v)
{
	return (v & 0xfffffffU) << 0U;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_m(void)
{
	return 0xfffffffU << 0U;
}
static inline u32 gr_fecs_arb_ctx_ptr_ptr_v(u32 r)
{
	return (r >> 0U) & 0xfffffffU;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_s(void)
{
	return 2U;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_f(u32 v)
{
	return (v & 0x3U) << 28U;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_m(void)
{
	return 0x3U << 28U;
}
static inline u32 gr_fecs_arb_ctx_ptr_target_v(u32 r)
{
	return (r >> 28U) & 0x3U;
}
static inline u32 gr_fecs_arb_ctx_cmd_r(void)
{
	return 0x00409a10U;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_s(void)
{
	return 5U;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_f(u32 v)
{
	return (v & 0x1fU) << 0U;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_m(void)
{
	return 0x1fU << 0U;
}
static inline u32 gr_fecs_arb_ctx_cmd_cmd_v(u32 r)
{
	return (r >> 0U) & 0x1fU;
}
static inline u32 gr_fecs_ctxsw_status_fe_0_r(void)
{
	return 0x00409c00U;
}
static inline u32 gr_gpc0_gpccs_ctxsw_status_gpc_0_r(void)
{
	return 0x00502c04U;
}
static inline u32 gr_gpc0_gpccs_ctxsw_status_1_r(void)
{
	return 0x00502400U;
}
static inline u32 gr_fecs_ctxsw_idlestate_r(void)
{
	return 0x00409420U;
}
static inline u32 gr_fecs_feature_override_ecc_r(void)
{
	return 0x00409658U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_lrf_v(u32 r)
{
	return (r >> 0U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_lrf_override_v(u32 r)
{
	return (r >> 3U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_l1_data_v(u32 r)
{
	return (r >> 4U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_l1_data_override_v(u32 r)
{
	return (r >> 7U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_l1_tag_v(u32 r)
{
	return (r >> 8U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_l1_tag_override_v(u32 r)
{
	return (r >> 11U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_ltc_v(u32 r)
{
	return (r >> 12U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_ltc_override_v(u32 r)
{
	return (r >> 15U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_cbu_v(u32 r)
{
	return (r >> 20U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_sm_cbu_override_v(u32 r)
{
	return (r >> 23U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_1_r(void)
{
	return 0x0040965cU;
}
static inline u32 gr_fecs_feature_override_ecc_1_sm_l0_icache_v(u32 r)
{
	return (r >> 0U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_1_sm_l0_icache_override_v(u32 r)
{
	return (r >> 1U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_1_sm_l1_icache_v(u32 r)
{
	return (r >> 2U) & 0x1U;
}
static inline u32 gr_fecs_feature_override_ecc_1_sm_l1_icache_override_v(u32 r)
{
	return (r >> 3U) & 0x1U;
}
static inline u32 gr_gpc0_gpccs_ctxsw_idlestate_r(void)
{
	return 0x00502420U;
}
static inline u32 gr_rstr2d_gpc_map_r(u32 i)
{
	return 0x0040780cU + i*4U;
}
static inline u32 gr_rstr2d_map_table_cfg_r(void)
{
	return 0x004078bcU;
}
static inline u32 gr_rstr2d_map_table_cfg_row_offset_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_rstr2d_map_table_cfg_num_entries_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_pd_hww_esr_r(void)
{
	return 0x00406018U;
}
static inline u32 gr_pd_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pd_hww_esr_en_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_pd_num_tpc_per_gpc_r(u32 i)
{
	return 0x00406028U + i*4U;
}
static inline u32 gr_pd_num_tpc_per_gpc__size_1_v(void)
{
	return 0x00000004U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count0_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count1_f(u32 v)
{
	return (v & 0xfU) << 4U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count2_f(u32 v)
{
	return (v & 0xfU) << 8U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count3_f(u32 v)
{
	return (v & 0xfU) << 12U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count4_f(u32 v)
{
	return (v & 0xfU) << 16U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count5_f(u32 v)
{
	return (v & 0xfU) << 20U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count6_f(u32 v)
{
	return (v & 0xfU) << 24U;
}
static inline u32 gr_pd_num_tpc_per_gpc_count7_f(u32 v)
{
	return (v & 0xfU) << 28U;
}
static inline u32 gr_pd_ab_dist_cfg0_r(void)
{
	return 0x004064c0U;
}
static inline u32 gr_pd_ab_dist_cfg0_timeslice_enable_en_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_pd_ab_dist_cfg0_timeslice_enable_dis_f(void)
{
	return 0x0U;
}
static inline u32 gr_pd_ab_dist_cfg1_r(void)
{
	return 0x004064c4U;
}
static inline u32 gr_pd_ab_dist_cfg1_max_batches_init_f(void)
{
	return 0xffffU;
}
static inline u32 gr_pd_ab_dist_cfg1_max_output_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_pd_ab_dist_cfg1_max_output_granularity_v(void)
{
	return 0x00000080U;
}
static inline u32 gr_pd_ab_dist_cfg2_r(void)
{
	return 0x004064c8U;
}
static inline u32 gr_pd_ab_dist_cfg2_token_limit_f(u32 v)
{
	return (v & 0x1fffU) << 0U;
}
static inline u32 gr_pd_ab_dist_cfg2_token_limit_init_v(void)
{
	return 0x00000380U;
}
static inline u32 gr_pd_ab_dist_cfg2_state_limit_f(u32 v)
{
	return (v & 0x1fffU) << 16U;
}
static inline u32 gr_pd_ab_dist_cfg2_state_limit_scc_bundle_granularity_v(void)
{
	return 0x00000020U;
}
static inline u32 gr_pd_ab_dist_cfg2_state_limit_min_gpm_fifo_depths_v(void)
{
	return 0x00000302U;
}
static inline u32 gr_pd_dist_skip_table_r(u32 i)
{
	return 0x004064d0U + i*4U;
}
static inline u32 gr_pd_dist_skip_table__size_1_v(void)
{
	return 0x00000008U;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n0_mask_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n1_mask_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n2_mask_f(u32 v)
{
	return (v & 0xffU) << 16U;
}
static inline u32 gr_pd_dist_skip_table_gpc_4n3_mask_f(u32 v)
{
	return (v & 0xffU) << 24U;
}
static inline u32 gr_ds_debug_r(void)
{
	return 0x00405800U;
}
static inline u32 gr_ds_debug_timeslice_mode_disable_f(void)
{
	return 0x0U;
}
static inline u32 gr_ds_debug_timeslice_mode_enable_f(void)
{
	return 0x8000000U;
}
static inline u32 gr_ds_zbc_color_r_r(void)
{
	return 0x00405804U;
}
static inline u32 gr_ds_zbc_color_r_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_ds_zbc_color_g_r(void)
{
	return 0x00405808U;
}
static inline u32 gr_ds_zbc_color_g_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_ds_zbc_color_b_r(void)
{
	return 0x0040580cU;
}
static inline u32 gr_ds_zbc_color_b_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_ds_zbc_color_a_r(void)
{
	return 0x00405810U;
}
static inline u32 gr_ds_zbc_color_a_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_ds_zbc_color_fmt_r(void)
{
	return 0x00405814U;
}
static inline u32 gr_ds_zbc_color_fmt_val_f(u32 v)
{
	return (v & 0x7fU) << 0U;
}
static inline u32 gr_ds_zbc_color_fmt_val_invalid_f(void)
{
	return 0x0U;
}
static inline u32 gr_ds_zbc_color_fmt_val_zero_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_ds_zbc_color_fmt_val_unorm_one_v(void)
{
	return 0x00000002U;
}
static inline u32 gr_ds_zbc_color_fmt_val_rf32_gf32_bf32_af32_v(void)
{
	return 0x00000004U;
}
static inline u32 gr_ds_zbc_color_fmt_val_a8_b8_g8_r8_v(void)
{
	return 0x00000028U;
}
static inline u32 gr_ds_zbc_z_r(void)
{
	return 0x00405818U;
}
static inline u32 gr_ds_zbc_z_val_s(void)
{
	return 32U;
}
static inline u32 gr_ds_zbc_z_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_ds_zbc_z_val_m(void)
{
	return 0xffffffffU << 0U;
}
static inline u32 gr_ds_zbc_z_val_v(u32 r)
{
	return (r >> 0U) & 0xffffffffU;
}
static inline u32 gr_ds_zbc_z_val__init_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_ds_zbc_z_val__init_f(void)
{
	return 0x0U;
}
static inline u32 gr_ds_zbc_z_fmt_r(void)
{
	return 0x0040581cU;
}
static inline u32 gr_ds_zbc_z_fmt_val_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_ds_zbc_z_fmt_val_invalid_f(void)
{
	return 0x0U;
}
static inline u32 gr_ds_zbc_z_fmt_val_fp32_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_ds_zbc_tbl_index_r(void)
{
	return 0x00405820U;
}
static inline u32 gr_ds_zbc_tbl_index_val_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_ds_zbc_tbl_ld_r(void)
{
	return 0x00405824U;
}
static inline u32 gr_ds_zbc_tbl_ld_select_c_f(void)
{
	return 0x0U;
}
static inline u32 gr_ds_zbc_tbl_ld_select_z_f(void)
{
	return 0x1U;
}
static inline u32 gr_ds_zbc_tbl_ld_action_write_f(void)
{
	return 0x0U;
}
static inline u32 gr_ds_zbc_tbl_ld_trigger_active_f(void)
{
	return 0x4U;
}
static inline u32 gr_ds_tga_constraintlogic_beta_r(void)
{
	return 0x00405830U;
}
static inline u32 gr_ds_tga_constraintlogic_beta_cbsize_f(u32 v)
{
	return (v & 0x3fffffU) << 0U;
}
static inline u32 gr_ds_tga_constraintlogic_alpha_r(void)
{
	return 0x0040585cU;
}
static inline u32 gr_ds_tga_constraintlogic_alpha_cbsize_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_ds_hww_esr_r(void)
{
	return 0x00405840U;
}
static inline u32 gr_ds_hww_esr_reset_s(void)
{
	return 1U;
}
static inline u32 gr_ds_hww_esr_reset_f(u32 v)
{
	return (v & 0x1U) << 30U;
}
static inline u32 gr_ds_hww_esr_reset_m(void)
{
	return 0x1U << 30U;
}
static inline u32 gr_ds_hww_esr_reset_v(u32 r)
{
	return (r >> 30U) & 0x1U;
}
static inline u32 gr_ds_hww_esr_reset_task_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_ds_hww_esr_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_ds_hww_esr_en_enabled_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_ds_hww_esr_2_r(void)
{
	return 0x00405848U;
}
static inline u32 gr_ds_hww_esr_2_reset_s(void)
{
	return 1U;
}
static inline u32 gr_ds_hww_esr_2_reset_f(u32 v)
{
	return (v & 0x1U) << 30U;
}
static inline u32 gr_ds_hww_esr_2_reset_m(void)
{
	return 0x1U << 30U;
}
static inline u32 gr_ds_hww_esr_2_reset_v(u32 r)
{
	return (r >> 30U) & 0x1U;
}
static inline u32 gr_ds_hww_esr_2_reset_task_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_ds_hww_esr_2_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_ds_hww_esr_2_en_enabled_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_ds_hww_report_mask_r(void)
{
	return 0x00405844U;
}
static inline u32 gr_ds_hww_report_mask_sph0_err_report_f(void)
{
	return 0x1U;
}
static inline u32 gr_ds_hww_report_mask_sph1_err_report_f(void)
{
	return 0x2U;
}
static inline u32 gr_ds_hww_report_mask_sph2_err_report_f(void)
{
	return 0x4U;
}
static inline u32 gr_ds_hww_report_mask_sph3_err_report_f(void)
{
	return 0x8U;
}
static inline u32 gr_ds_hww_report_mask_sph4_err_report_f(void)
{
	return 0x10U;
}
static inline u32 gr_ds_hww_report_mask_sph5_err_report_f(void)
{
	return 0x20U;
}
static inline u32 gr_ds_hww_report_mask_sph6_err_report_f(void)
{
	return 0x40U;
}
static inline u32 gr_ds_hww_report_mask_sph7_err_report_f(void)
{
	return 0x80U;
}
static inline u32 gr_ds_hww_report_mask_sph8_err_report_f(void)
{
	return 0x100U;
}
static inline u32 gr_ds_hww_report_mask_sph9_err_report_f(void)
{
	return 0x200U;
}
static inline u32 gr_ds_hww_report_mask_sph10_err_report_f(void)
{
	return 0x400U;
}
static inline u32 gr_ds_hww_report_mask_sph11_err_report_f(void)
{
	return 0x800U;
}
static inline u32 gr_ds_hww_report_mask_sph12_err_report_f(void)
{
	return 0x1000U;
}
static inline u32 gr_ds_hww_report_mask_sph13_err_report_f(void)
{
	return 0x2000U;
}
static inline u32 gr_ds_hww_report_mask_sph14_err_report_f(void)
{
	return 0x4000U;
}
static inline u32 gr_ds_hww_report_mask_sph15_err_report_f(void)
{
	return 0x8000U;
}
static inline u32 gr_ds_hww_report_mask_sph16_err_report_f(void)
{
	return 0x10000U;
}
static inline u32 gr_ds_hww_report_mask_sph17_err_report_f(void)
{
	return 0x20000U;
}
static inline u32 gr_ds_hww_report_mask_sph18_err_report_f(void)
{
	return 0x40000U;
}
static inline u32 gr_ds_hww_report_mask_sph19_err_report_f(void)
{
	return 0x80000U;
}
static inline u32 gr_ds_hww_report_mask_sph20_err_report_f(void)
{
	return 0x100000U;
}
static inline u32 gr_ds_hww_report_mask_sph21_err_report_f(void)
{
	return 0x200000U;
}
static inline u32 gr_ds_hww_report_mask_sph22_err_report_f(void)
{
	return 0x400000U;
}
static inline u32 gr_ds_hww_report_mask_sph23_err_report_f(void)
{
	return 0x800000U;
}
static inline u32 gr_ds_hww_report_mask_2_r(void)
{
	return 0x0040584cU;
}
static inline u32 gr_ds_hww_report_mask_2_sph24_err_report_f(void)
{
	return 0x1U;
}
static inline u32 gr_ds_num_tpc_per_gpc_r(u32 i)
{
	return 0x00405870U + i*4U;
}
static inline u32 gr_scc_debug_r(void)
{
	return 0x00408000U;
}
static inline u32 gr_scc_debug_pagepool_invalidates_m(void)
{
	return 0x1U << 9U;
}
static inline u32 gr_scc_debug_pagepool_invalidates_disable_f(void)
{
	return 0x200U;
}
static inline u32 gr_scc_debug_pagepool_invalidates_enable_f(void)
{
	return 0x0U;
}
static inline u32 gr_scc_bundle_cb_base_r(void)
{
	return 0x00408004U;
}
static inline u32 gr_scc_bundle_cb_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_scc_bundle_cb_base_addr_39_8_align_bits_v(void)
{
	return 0x00000008U;
}
static inline u32 gr_scc_bundle_cb_size_r(void)
{
	return 0x00408008U;
}
static inline u32 gr_scc_bundle_cb_size_div_256b_f(u32 v)
{
	return (v & 0x7ffU) << 0U;
}
static inline u32 gr_scc_bundle_cb_size_div_256b__prod_v(void)
{
	return 0x00000030U;
}
static inline u32 gr_scc_bundle_cb_size_div_256b_byte_granularity_v(void)
{
	return 0x00000100U;
}
static inline u32 gr_scc_bundle_cb_size_valid_false_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_scc_bundle_cb_size_valid_false_f(void)
{
	return 0x0U;
}
static inline u32 gr_scc_bundle_cb_size_valid_true_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_scc_pagepool_base_r(void)
{
	return 0x0040800cU;
}
static inline u32 gr_scc_pagepool_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_scc_pagepool_base_addr_39_8_align_bits_v(void)
{
	return 0x00000008U;
}
static inline u32 gr_scc_pagepool_r(void)
{
	return 0x00408010U;
}
static inline u32 gr_scc_pagepool_total_pages_f(u32 v)
{
	return (v & 0x3ffU) << 0U;
}
static inline u32 gr_scc_pagepool_total_pages_hwmax_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_scc_pagepool_total_pages_hwmax_value_v(void)
{
	return 0x00000200U;
}
static inline u32 gr_scc_pagepool_total_pages_byte_granularity_v(void)
{
	return 0x00000100U;
}
static inline u32 gr_scc_pagepool_max_valid_pages_s(void)
{
	return 10U;
}
static inline u32 gr_scc_pagepool_max_valid_pages_f(u32 v)
{
	return (v & 0x3ffU) << 10U;
}
static inline u32 gr_scc_pagepool_max_valid_pages_m(void)
{
	return 0x3ffU << 10U;
}
static inline u32 gr_scc_pagepool_max_valid_pages_v(u32 r)
{
	return (r >> 10U) & 0x3ffU;
}
static inline u32 gr_scc_pagepool_valid_true_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_scc_init_r(void)
{
	return 0x0040802cU;
}
static inline u32 gr_scc_init_ram_trigger_f(void)
{
	return 0x1U;
}
static inline u32 gr_scc_hww_esr_r(void)
{
	return 0x00408030U;
}
static inline u32 gr_scc_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_scc_hww_esr_en_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_ssync_hww_esr_r(void)
{
	return 0x00405a14U;
}
static inline u32 gr_ssync_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_ssync_hww_esr_en_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_sked_hww_esr_r(void)
{
	return 0x00407020U;
}
static inline u32 gr_sked_hww_esr_reset_active_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_sked_hww_esr_en_r(void)
{
	return 0x00407024U;
}
static inline u32 gr_sked_hww_esr_en_skedcheck18_l1_config_too_small_m(void)
{
	return 0x1U << 25U;
}
static inline u32 gr_sked_hww_esr_en_skedcheck18_l1_config_too_small_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_sked_hww_esr_en_skedcheck18_l1_config_too_small_enabled_f(void)
{
	return 0x2000000U;
}
static inline u32 gr_cwd_fs_r(void)
{
	return 0x00405b00U;
}
static inline u32 gr_cwd_fs_num_gpcs_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_cwd_fs_num_tpcs_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_cwd_gpc_tpc_id_r(u32 i)
{
	return 0x00405b60U + i*4U;
}
static inline u32 gr_cwd_gpc_tpc_id_tpc0_s(void)
{
	return 4U;
}
static inline u32 gr_cwd_gpc_tpc_id_tpc0_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_cwd_gpc_tpc_id_gpc0_s(void)
{
	return 4U;
}
static inline u32 gr_cwd_gpc_tpc_id_gpc0_f(u32 v)
{
	return (v & 0xfU) << 4U;
}
static inline u32 gr_cwd_gpc_tpc_id_tpc1_f(u32 v)
{
	return (v & 0xfU) << 8U;
}
static inline u32 gr_cwd_sm_id_r(u32 i)
{
	return 0x00405ba0U + i*4U;
}
static inline u32 gr_cwd_sm_id__size_1_v(void)
{
	return 0x00000010U;
}
static inline u32 gr_cwd_sm_id_tpc0_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_cwd_sm_id_tpc1_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_gpc0_fs_gpc_r(void)
{
	return 0x00502608U;
}
static inline u32 gr_gpc0_fs_gpc_num_available_tpcs_v(u32 r)
{
	return (r >> 0U) & 0x1fU;
}
static inline u32 gr_gpc0_fs_gpc_num_available_zculls_v(u32 r)
{
	return (r >> 16U) & 0x1fU;
}
static inline u32 gr_gpc0_cfg_r(void)
{
	return 0x00502620U;
}
static inline u32 gr_gpc0_cfg_imem_sz_v(u32 r)
{
	return (r >> 0U) & 0xffU;
}
static inline u32 gr_gpccs_rc_lanes_r(void)
{
	return 0x00502880U;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_s(void)
{
	return 6U;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_f(u32 v)
{
	return (v & 0x3fU) << 0U;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_m(void)
{
	return 0x3fU << 0U;
}
static inline u32 gr_gpccs_rc_lanes_num_chains_v(u32 r)
{
	return (r >> 0U) & 0x3fU;
}
static inline u32 gr_gpccs_rc_lane_size_r(void)
{
	return 0x00502910U;
}
static inline u32 gr_gpccs_rc_lane_size_v_s(void)
{
	return 24U;
}
static inline u32 gr_gpccs_rc_lane_size_v_f(u32 v)
{
	return (v & 0xffffffU) << 0U;
}
static inline u32 gr_gpccs_rc_lane_size_v_m(void)
{
	return 0xffffffU << 0U;
}
static inline u32 gr_gpccs_rc_lane_size_v_v(u32 r)
{
	return (r >> 0U) & 0xffffffU;
}
static inline u32 gr_gpccs_rc_lane_size_v_0_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpccs_rc_lane_size_v_0_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpc0_zcull_fs_r(void)
{
	return 0x00500910U;
}
static inline u32 gr_gpc0_zcull_fs_num_sms_f(u32 v)
{
	return (v & 0x1ffU) << 0U;
}
static inline u32 gr_gpc0_zcull_fs_num_active_banks_f(u32 v)
{
	return (v & 0xfU) << 16U;
}
static inline u32 gr_gpc0_zcull_ram_addr_r(void)
{
	return 0x00500914U;
}
static inline u32 gr_gpc0_zcull_ram_addr_tiles_per_hypertile_row_per_gpc_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_gpc0_zcull_ram_addr_row_offset_f(u32 v)
{
	return (v & 0xfU) << 8U;
}
static inline u32 gr_gpc0_zcull_sm_num_rcp_r(void)
{
	return 0x00500918U;
}
static inline u32 gr_gpc0_zcull_sm_num_rcp_conservative_f(u32 v)
{
	return (v & 0xffffffU) << 0U;
}
static inline u32 gr_gpc0_zcull_sm_num_rcp_conservative__max_v(void)
{
	return 0x00800000U;
}
static inline u32 gr_gpc0_zcull_total_ram_size_r(void)
{
	return 0x00500920U;
}
static inline u32 gr_gpc0_zcull_total_ram_size_num_aliquots_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_zcull_zcsize_r(u32 i)
{
	return 0x00500a04U + i*32U;
}
static inline u32 gr_gpc0_zcull_zcsize_height_subregion__multiple_v(void)
{
	return 0x00000040U;
}
static inline u32 gr_gpc0_zcull_zcsize_width_subregion__multiple_v(void)
{
	return 0x00000010U;
}
static inline u32 gr_gpc0_gpm_pd_sm_id_r(u32 i)
{
	return 0x00500c10U + i*4U;
}
static inline u32 gr_gpc0_gpm_pd_sm_id_id_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_gpc0_gpm_pd_pes_tpc_id_mask_r(u32 i)
{
	return 0x00500c30U + i*4U;
}
static inline u32 gr_gpc0_gpm_pd_pes_tpc_id_mask_mask_v(u32 r)
{
	return (r >> 0U) & 0xffU;
}
static inline u32 gr_gpc0_tpc0_pe_cfg_smid_r(void)
{
	return 0x00504088U;
}
static inline u32 gr_gpc0_tpc0_pe_cfg_smid_value_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_tpc0_sm_cfg_r(void)
{
	return 0x00504608U;
}
static inline u32 gr_gpc0_tpc0_sm_cfg_tpc_id_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_tpc0_sm_cfg_tpc_id_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_tpc0_sm_arch_r(void)
{
	return 0x00504330U;
}
static inline u32 gr_gpc0_tpc0_sm_arch_warp_count_v(u32 r)
{
	return (r >> 0U) & 0xffU;
}
static inline u32 gr_gpc0_tpc0_sm_arch_spa_version_v(u32 r)
{
	return (r >> 8U) & 0xfffU;
}
static inline u32 gr_gpc0_tpc0_sm_arch_sm_version_v(u32 r)
{
	return (r >> 20U) & 0xfffU;
}
static inline u32 gr_gpc0_ppc0_pes_vsc_strem_r(void)
{
	return 0x00503018U;
}
static inline u32 gr_gpc0_ppc0_pes_vsc_strem_master_pe_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpc0_ppc0_pes_vsc_strem_master_pe_true_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_r(void)
{
	return 0x005030c0U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_f(u32 v)
{
	return (v & 0x3fffffU) << 0U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_m(void)
{
	return 0x3fffffU << 0U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_default_v(void)
{
	return 0x00000800U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_gfxp_v(void)
{
	return 0x00001100U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_size_v_granularity_v(void)
{
	return 0x00000020U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_cb_offset_r(void)
{
	return 0x005030f4U;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_r(void)
{
	return 0x005030e4U;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_default_v(void)
{
	return 0x00000800U;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_size_v_granularity_v(void)
{
	return 0x00000020U;
}
static inline u32 gr_gpc0_ppc0_cbm_alpha_cb_offset_r(void)
{
	return 0x005030f8U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_steady_state_cb_size_r(void)
{
	return 0x005030f0U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_steady_state_cb_size_v_f(u32 v)
{
	return (v & 0x3fffffU) << 0U;
}
static inline u32 gr_gpc0_ppc0_cbm_beta_steady_state_cb_size_v_default_v(void)
{
	return 0x00000800U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_0_r(void)
{
	return 0x00419e00U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_0_base_addr_43_12_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_r(void)
{
	return 0x00419e04U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_s(void)
{
	return 21U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_f(u32 v)
{
	return (v & 0x1fffffU) << 0U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_m(void)
{
	return 0x1fffffU << 0U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_v(u32 r)
{
	return (r >> 0U) & 0x1fffffU;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_size_div_128b_granularity_f(void)
{
	return 0x80U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_s(void)
{
	return 1U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_f(u32 v)
{
	return (v & 0x1U) << 31U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_v(u32 r)
{
	return (r >> 31U) & 0x1U;
}
static inline u32 gr_gpcs_tpcs_tex_rm_cb_1_valid_true_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_gpccs_falcon_addr_r(void)
{
	return 0x0041a0acU;
}
static inline u32 gr_gpccs_falcon_addr_lsb_s(void)
{
	return 6U;
}
static inline u32 gr_gpccs_falcon_addr_lsb_f(u32 v)
{
	return (v & 0x3fU) << 0U;
}
static inline u32 gr_gpccs_falcon_addr_lsb_m(void)
{
	return 0x3fU << 0U;
}
static inline u32 gr_gpccs_falcon_addr_lsb_v(u32 r)
{
	return (r >> 0U) & 0x3fU;
}
static inline u32 gr_gpccs_falcon_addr_lsb_init_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpccs_falcon_addr_lsb_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpccs_falcon_addr_msb_s(void)
{
	return 6U;
}
static inline u32 gr_gpccs_falcon_addr_msb_f(u32 v)
{
	return (v & 0x3fU) << 6U;
}
static inline u32 gr_gpccs_falcon_addr_msb_m(void)
{
	return 0x3fU << 6U;
}
static inline u32 gr_gpccs_falcon_addr_msb_v(u32 r)
{
	return (r >> 6U) & 0x3fU;
}
static inline u32 gr_gpccs_falcon_addr_msb_init_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpccs_falcon_addr_msb_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpccs_falcon_addr_ext_s(void)
{
	return 12U;
}
static inline u32 gr_gpccs_falcon_addr_ext_f(u32 v)
{
	return (v & 0xfffU) << 0U;
}
static inline u32 gr_gpccs_falcon_addr_ext_m(void)
{
	return 0xfffU << 0U;
}
static inline u32 gr_gpccs_falcon_addr_ext_v(u32 r)
{
	return (r >> 0U) & 0xfffU;
}
static inline u32 gr_gpccs_cpuctl_r(void)
{
	return 0x0041a100U;
}
static inline u32 gr_gpccs_cpuctl_startcpu_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_gpccs_dmactl_r(void)
{
	return 0x0041a10cU;
}
static inline u32 gr_gpccs_dmactl_require_ctx_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpccs_dmactl_dmem_scrubbing_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpccs_dmactl_imem_scrubbing_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_gpccs_imemc_r(u32 i)
{
	return 0x0041a180U + i*16U;
}
static inline u32 gr_gpccs_imemc_offs_f(u32 v)
{
	return (v & 0x3fU) << 2U;
}
static inline u32 gr_gpccs_imemc_blk_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_gpccs_imemc_aincw_f(u32 v)
{
	return (v & 0x1U) << 24U;
}
static inline u32 gr_gpccs_imemd_r(u32 i)
{
	return 0x0041a184U + i*16U;
}
static inline u32 gr_gpccs_imemt_r(u32 i)
{
	return 0x0041a188U + i*16U;
}
static inline u32 gr_gpccs_imemt__size_1_v(void)
{
	return 0x00000004U;
}
static inline u32 gr_gpccs_imemt_tag_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpccs_dmemc_r(u32 i)
{
	return 0x0041a1c0U + i*8U;
}
static inline u32 gr_gpccs_dmemc_offs_f(u32 v)
{
	return (v & 0x3fU) << 2U;
}
static inline u32 gr_gpccs_dmemc_blk_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_gpccs_dmemc_aincw_f(u32 v)
{
	return (v & 0x1U) << 24U;
}
static inline u32 gr_gpccs_dmemd_r(u32 i)
{
	return 0x0041a1c4U + i*8U;
}
static inline u32 gr_gpccs_ctxsw_mailbox_r(u32 i)
{
	return 0x0041a800U + i*4U;
}
static inline u32 gr_gpccs_ctxsw_mailbox_value_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_r(void)
{
	return 0x00418e24U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_s(void)
{
	return 32U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_m(void)
{
	return 0xffffffffU << 0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_v(u32 r)
{
	return (r >> 0U) & 0xffffffffU;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_init_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_base_addr_39_8_init_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_r(void)
{
	return 0x00418e28U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_s(void)
{
	return 11U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_f(u32 v)
{
	return (v & 0x7ffU) << 0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_m(void)
{
	return 0x7ffU << 0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_v(u32 r)
{
	return (r >> 0U) & 0x7ffU;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_init_v(void)
{
	return 0x00000030U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_div_256b_init_f(void)
{
	return 0x30U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_s(void)
{
	return 1U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_f(u32 v)
{
	return (v & 0x1U) << 31U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_v(u32 r)
{
	return (r >> 31U) & 0x1U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_false_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_false_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_true_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpcs_swdx_bundle_cb_size_valid_true_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_r(void)
{
	return 0x005001dcU;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_256b_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_256b_default_v(void)
{
	return 0x00000170U;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_size_256b_byte_granularity_v(void)
{
	return 0x00000100U;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_addr_r(void)
{
	return 0x005001d8U;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_addr_39_8_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpc0_swdx_rm_spill_buffer_addr_39_8_align_bits_v(void)
{
	return 0x00000008U;
}
static inline u32 gr_gpcs_swdx_beta_cb_ctrl_r(void)
{
	return 0x004181e4U;
}
static inline u32 gr_gpcs_swdx_beta_cb_ctrl_cbes_reserve_f(u32 v)
{
	return (v & 0xfffU) << 0U;
}
static inline u32 gr_gpcs_swdx_beta_cb_ctrl_cbes_reserve_gfxp_v(void)
{
	return 0x00000100U;
}
static inline u32 gr_gpcs_ppcs_cbm_beta_cb_ctrl_r(void)
{
	return 0x0041befcU;
}
static inline u32 gr_gpcs_ppcs_cbm_beta_cb_ctrl_cbes_reserve_f(u32 v)
{
	return (v & 0xfffU) << 0U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_r(void)
{
	return 0x0041bec4U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_invalidate_alpha_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_invalidate_alpha_disable_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_invalidate_alpha_enable_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_invalidate_beta_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_invalidate_beta_disable_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_ppcs_cbm_debug_invalidate_beta_enable_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpcs_swdx_tc_beta_cb_size_r(u32 i)
{
	return 0x00418ea0U + i*4U;
}
static inline u32 gr_gpcs_swdx_tc_beta_cb_size_v_f(u32 v)
{
	return (v & 0x3fffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_tc_beta_cb_size_v_m(void)
{
	return 0x3fffffU << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_r_r(u32 i)
{
	return 0x00418010U + i*4U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_r_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_g_r(u32 i)
{
	return 0x0041804cU + i*4U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_g_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_b_r(u32 i)
{
	return 0x00418088U + i*4U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_b_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_a_r(u32 i)
{
	return 0x004180c4U + i*4U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_color_a_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_c_01_to_04_format_r(void)
{
	return 0x00418100U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_z_r(u32 i)
{
	return 0x00418110U + i*4U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_z_val_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_z_01_to_04_format_r(void)
{
	return 0x0041814cU;
}
static inline u32 gr_gpcs_swdx_dss_zbc_s_r(u32 i)
{
	return 0x0041815cU + i*4U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_s_val_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_gpcs_swdx_dss_zbc_s_01_to_04_format_r(void)
{
	return 0x00418198U;
}
static inline u32 gr_gpcs_swdx_spill_unit_r(void)
{
	return 0x00418e9cU;
}
static inline u32 gr_gpcs_swdx_spill_unit_spill_buffer_cache_mgmt_mode_m(void)
{
	return 0x1U << 16U;
}
static inline u32 gr_gpcs_swdx_spill_unit_spill_buffer_cache_mgmt_mode_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_swdx_spill_unit_spill_buffer_cache_mgmt_mode_enabled_f(void)
{
	return 0x10000U;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_r(void)
{
	return 0x00418810U;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_addr_39_12_f(u32 v)
{
	return (v & 0xfffffffU) << 0U;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_addr_39_12_align_bits_v(void)
{
	return 0x0000000cU;
}
static inline u32 gr_gpcs_setup_attrib_cb_base_valid_true_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_crstr_gpc_map_r(u32 i)
{
	return 0x00418b08U + i*4U;
}
static inline u32 gr_crstr_gpc_map_tile0_f(u32 v)
{
	return (v & 0x1fU) << 0U;
}
static inline u32 gr_crstr_gpc_map_tile1_f(u32 v)
{
	return (v & 0x1fU) << 5U;
}
static inline u32 gr_crstr_gpc_map_tile2_f(u32 v)
{
	return (v & 0x1fU) << 10U;
}
static inline u32 gr_crstr_gpc_map_tile3_f(u32 v)
{
	return (v & 0x1fU) << 15U;
}
static inline u32 gr_crstr_gpc_map_tile4_f(u32 v)
{
	return (v & 0x1fU) << 20U;
}
static inline u32 gr_crstr_gpc_map_tile5_f(u32 v)
{
	return (v & 0x1fU) << 25U;
}
static inline u32 gr_crstr_map_table_cfg_r(void)
{
	return 0x00418bb8U;
}
static inline u32 gr_crstr_map_table_cfg_row_offset_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_crstr_map_table_cfg_num_entries_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_r(u32 i)
{
	return 0x00418980U + i*4U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_0_f(u32 v)
{
	return (v & 0x7U) << 0U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_1_f(u32 v)
{
	return (v & 0x7U) << 4U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_2_f(u32 v)
{
	return (v & 0x7U) << 8U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_3_f(u32 v)
{
	return (v & 0x7U) << 12U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_4_f(u32 v)
{
	return (v & 0x7U) << 16U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_5_f(u32 v)
{
	return (v & 0x7U) << 20U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_6_f(u32 v)
{
	return (v & 0x7U) << 24U;
}
static inline u32 gr_gpcs_zcull_sm_in_gpc_number_map_tile_7_f(u32 v)
{
	return (v & 0x7U) << 28U;
}
static inline u32 gr_gpcs_gpm_pd_cfg_r(void)
{
	return 0x00418c6cU;
}
static inline u32 gr_gpcs_gcc_pagepool_base_r(void)
{
	return 0x00419004U;
}
static inline u32 gr_gpcs_gcc_pagepool_base_addr_39_8_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpcs_gcc_pagepool_r(void)
{
	return 0x00419008U;
}
static inline u32 gr_gpcs_gcc_pagepool_total_pages_f(u32 v)
{
	return (v & 0x3ffU) << 0U;
}
static inline u32 gr_gpcs_tpcs_pe_vaf_r(void)
{
	return 0x0041980cU;
}
static inline u32 gr_gpcs_tpcs_pe_vaf_fast_mode_switch_true_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_r(void)
{
	return 0x00419848U;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_v_f(u32 v)
{
	return (v & 0xfffffffU) << 0U;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_valid_f(u32 v)
{
	return (v & 0x1U) << 28U;
}
static inline u32 gr_gpcs_tpcs_pe_pin_cb_global_base_addr_valid_true_f(void)
{
	return 0x10000000U;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_debug_r(void)
{
	return 0x00419c00U;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_debug_timeslice_mode_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_debug_timeslice_mode_enabled_f(void)
{
	return 0x8U;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_r(void)
{
	return 0x00419c2cU;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_v_f(u32 v)
{
	return (v & 0xfffffffU) << 0U;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_valid_f(u32 v)
{
	return (v & 0x1U) << 28U;
}
static inline u32 gr_gpcs_tpcs_mpc_vtg_cb_global_base_addr_valid_true_f(void)
{
	return 0x10000000U;
}
static inline u32 gr_gpcs_tpcs_sms_hww_warp_esr_report_mask_r(void)
{
	return 0x00419ea8U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_r(void)
{
	return 0x00504728U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_stack_error_report_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_api_stack_error_report_f(void)
{
	return 0x4U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_pc_wrap_report_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_misaligned_pc_report_f(void)
{
	return 0x20U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_pc_overflow_report_f(void)
{
	return 0x40U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_misaligned_reg_report_f(void)
{
	return 0x100U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_illegal_instr_encoding_report_f(void)
{
	return 0x200U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_illegal_instr_param_report_f(void)
{
	return 0x800U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_oor_reg_report_f(void)
{
	return 0x2000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_oor_addr_report_f(void)
{
	return 0x4000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_misaligned_addr_report_f(void)
{
	return 0x8000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_invalid_addr_space_report_f(void)
{
	return 0x10000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_invalid_const_addr_ldc_report_f(void)
{
	return 0x40000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_mmu_fault_report_f(void)
{
	return 0x800000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_stack_overflow_report_f(void)
{
	return 0x400000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_report_mask_mmu_nack_report_f(void)
{
	return 0x4000000U;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_r(void)
{
	return 0x00419d0cU;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_sm_enabled_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_tex_enabled_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpcs_tpcs_tpccs_tpc_exception_en_mpc_enabled_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_r(void)
{
	return 0x0050450cU;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_sm_v(u32 r)
{
	return (r >> 1U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_sm_enabled_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_en_mpc_enabled_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_r(void)
{
	return 0x0041ac94U;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_gcc_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_tpc_f(u32 v)
{
	return (v & 0xffU) << 16U;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_gpccs_f(u32 v)
{
	return (v & 0x1U) << 14U;
}
static inline u32 gr_gpcs_gpccs_gpc_exception_en_gpcmmu_f(u32 v)
{
	return (v & 0x1U) << 15U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_r(void)
{
	return 0x00502c90U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gcc_v(u32 r)
{
	return (r >> 2U) & 0x1U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_tpc_v(u32 r)
{
	return (r >> 16U) & 0xffU;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_tpc_0_pending_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpccs_f(u32 v)
{
	return (v & 0x1U) << 14U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpccs_m(void)
{
	return 0x1U << 14U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpccs_pending_f(void)
{
	return 0x4000U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpcmmu_f(u32 v)
{
	return (v & 0x1U) << 15U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpcmmu_m(void)
{
	return 0x1U << 15U;
}
static inline u32 gr_gpc0_gpccs_gpc_exception_gpcmmu_pending_f(void)
{
	return 0x8000U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_r(void)
{
	return 0x00501048U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_corrected_err_bank0_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_corrected_err_bank1_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_uncorrected_err_bank0_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_uncorrected_err_bank1_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_corrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 8U) & 0x1U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_uncorrected_err_total_counter_overflow_v(u32 r)
{
	return (r >> 10U) & 0x1U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_corrected_err_count_r(void)
{
	return 0x0050104cU;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_uncorrected_err_count_r(void)
{
	return 0x00501054U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_pri_gpc0_gcc_l15_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_r(void)
{
	return 0x00504508U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_tex_v(u32 r)
{
	return (r >> 0U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_tex_pending_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_sm_v(u32 r)
{
	return (r >> 1U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_sm_pending_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_mpc_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_gpc0_tpc0_tpccs_tpc_exception_mpc_pending_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_r(void)
{
	return 0x00504704U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_v(u32 r)
{
	return (r >> 0U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_on_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_on_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_off_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_debugger_mode_off_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_stop_trigger_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_stop_trigger_enable_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_stop_trigger_disable_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_single_step_mode_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_single_step_mode_enable_f(void)
{
	return 0x8U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_single_step_mode_disable_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_control0_run_trigger_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_gpc0_tpc0_sm0_warp_valid_mask_0_r(void)
{
	return 0x00504708U;
}
static inline u32 gr_gpc0_tpc0_sm0_warp_valid_mask_1_r(void)
{
	return 0x0050470cU;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_pause_mask_0_r(void)
{
	return 0x00504710U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_pause_mask_1_r(void)
{
	return 0x00504714U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_trap_mask_0_r(void)
{
	return 0x00504718U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_bpt_trap_mask_1_r(void)
{
	return 0x0050471cU;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_bpt_pause_mask_0_r(void)
{
	return 0x00419e90U;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_bpt_pause_mask_1_r(void)
{
	return 0x00419e94U;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_status0_r(void)
{
	return 0x00419e80U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_r(void)
{
	return 0x00504700U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_sm_in_trap_mode_v(u32 r)
{
	return (r >> 0U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_locked_down_v(u32 r)
{
	return (r >> 4U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm0_dbgr_status0_locked_down_true_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_r(void)
{
	return 0x00504730U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_none_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_none_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_stack_error_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_api_stack_error_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_pc_wrap_f(void)
{
	return 0x4U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_misaligned_pc_f(void)
{
	return 0x5U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_pc_overflow_f(void)
{
	return 0x6U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_misaligned_reg_f(void)
{
	return 0x8U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_illegal_instr_encoding_f(void)
{
	return 0x9U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_illegal_instr_param_f(void)
{
	return 0xbU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_oor_reg_f(void)
{
	return 0xdU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_oor_addr_f(void)
{
	return 0xeU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_misaligned_addr_f(void)
{
	return 0xfU;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_invalid_addr_space_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_invalid_const_addr_ldc_f(void)
{
	return 0x12U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_stack_overflow_f(void)
{
	return 0x16U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_mmu_fault_f(void)
{
	return 0x17U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_tex_format_f(void)
{
	return 0x18U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_tex_layout_f(void)
{
	return 0x19U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_error_mmu_nack_f(void)
{
	return 0x20U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_wrap_id_m(void)
{
	return 0xffU << 16U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_addr_error_type_m(void)
{
	return 0xfU << 24U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_addr_error_type_none_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpc0_tpc0_sm_tpc_esr_sm_sel_r(void)
{
	return 0x0050460cU;
}
static inline u32 gr_gpc0_tpc0_sm_tpc_esr_sm_sel_sm0_error_v(u32 r)
{
	return (r >> 0U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm_tpc_esr_sm_sel_sm1_error_v(u32 r)
{
	return (r >> 1U) & 0x1U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_pc_r(void)
{
	return 0x00504738U;
}
static inline u32 gr_gpc0_tpc0_sm0_hww_warp_esr_pc_hi_r(void)
{
	return 0x0050473cU;
}
static inline u32 gr_gpc0_tpc0_sm_halfctl_ctrl_r(void)
{
	return 0x005043a0U;
}
static inline u32 gr_gpcs_tpcs_sm_halfctl_ctrl_r(void)
{
	return 0x00419ba0U;
}
static inline u32 gr_gpcs_tpcs_sm_halfctl_ctrl_sctl_read_quad_ctl_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_gpcs_tpcs_sm_halfctl_ctrl_sctl_read_quad_ctl_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_gpc0_tpc0_sm_debug_sfe_control_r(void)
{
	return 0x005043b0U;
}
static inline u32 gr_gpcs_tpcs_sm_debug_sfe_control_r(void)
{
	return 0x00419bb0U;
}
static inline u32 gr_gpcs_tpcs_sm_debug_sfe_control_read_half_ctl_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpcs_tpcs_sm_debug_sfe_control_read_half_ctl_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpcs_tpcs_pes_vsc_vpc_r(void)
{
	return 0x0041be08U;
}
static inline u32 gr_gpcs_tpcs_pes_vsc_vpc_fast_mode_switch_true_f(void)
{
	return 0x4U;
}
static inline u32 gr_ppcs_wwdx_map_gpc_map_r(u32 i)
{
	return 0x0041bf00U + i*4U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_r(void)
{
	return 0x0041bfd0U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_row_offset_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_num_entries_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_normalized_num_entries_f(u32 v)
{
	return (v & 0x1fU) << 16U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_normalized_shift_value_f(u32 v)
{
	return (v & 0x7U) << 21U;
}
static inline u32 gr_gpcs_ppcs_wwdx_sm_num_rcp_r(void)
{
	return 0x0041bfd4U;
}
static inline u32 gr_gpcs_ppcs_wwdx_sm_num_rcp_conservative_f(u32 v)
{
	return (v & 0xffffffU) << 0U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_r(u32 i)
{
	return 0x0041bfb0U + i*4U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff__size_1_v(void)
{
	return 0x00000005U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_0_mod_value_f(u32 v)
{
	return (v & 0xffU) << 0U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_1_mod_value_f(u32 v)
{
	return (v & 0xffU) << 8U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_2_mod_value_f(u32 v)
{
	return (v & 0xffU) << 16U;
}
static inline u32 gr_ppcs_wwdx_map_table_cfg_coeff_3_mod_value_f(u32 v)
{
	return (v & 0xffU) << 24U;
}
static inline u32 gr_bes_zrop_settings_r(void)
{
	return 0x00408850U;
}
static inline u32 gr_bes_zrop_settings_num_active_ltcs_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_be0_crop_debug3_r(void)
{
	return 0x00410108U;
}
static inline u32 gr_bes_crop_debug3_r(void)
{
	return 0x00408908U;
}
static inline u32 gr_bes_crop_debug3_comp_vdc_4to2_disable_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_bes_crop_debug3_blendopt_read_suppress_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_bes_crop_debug3_blendopt_read_suppress_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_bes_crop_debug3_blendopt_read_suppress_enabled_f(void)
{
	return 0x2U;
}
static inline u32 gr_bes_crop_debug3_blendopt_fill_override_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_bes_crop_debug3_blendopt_fill_override_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_bes_crop_debug3_blendopt_fill_override_enabled_f(void)
{
	return 0x4U;
}
static inline u32 gr_bes_crop_debug4_r(void)
{
	return 0x0040894cU;
}
static inline u32 gr_bes_crop_debug4_clamp_fp_blend_m(void)
{
	return 0x1U << 18U;
}
static inline u32 gr_bes_crop_debug4_clamp_fp_blend_to_inf_f(void)
{
	return 0x0U;
}
static inline u32 gr_bes_crop_debug4_clamp_fp_blend_to_maxval_f(void)
{
	return 0x40000U;
}
static inline u32 gr_bes_crop_settings_r(void)
{
	return 0x00408958U;
}
static inline u32 gr_bes_crop_settings_num_active_ltcs_f(u32 v)
{
	return (v & 0xfU) << 0U;
}
static inline u32 gr_zcull_bytes_per_aliquot_per_gpu_v(void)
{
	return 0x00000020U;
}
static inline u32 gr_zcull_save_restore_header_bytes_per_gpc_v(void)
{
	return 0x00000020U;
}
static inline u32 gr_zcull_save_restore_subregion_header_bytes_per_gpc_v(void)
{
	return 0x000000c0U;
}
static inline u32 gr_zcull_subregion_qty_v(void)
{
	return 0x00000010U;
}
static inline u32 gr_gpcs_tpcs_tex_in_dbg_r(void)
{
	return 0x00419a00U;
}
static inline u32 gr_gpcs_tpcs_tex_in_dbg_tsl1_rvch_invalidate_f(u32 v)
{
	return (v & 0x1U) << 19U;
}
static inline u32 gr_gpcs_tpcs_tex_in_dbg_tsl1_rvch_invalidate_m(void)
{
	return 0x1U << 19U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_r(void)
{
	return 0x00419bf0U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_ld_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_ld_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_st_f(u32 v)
{
	return (v & 0x1U) << 10U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_cache_surface_st_m(void)
{
	return 0x1U << 10U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_always_cut_collector_m(void)
{
	return 0x1U << 28U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_always_cut_collector_disable_f(void)
{
	return 0x0U;
}
static inline u32 gr_gpcs_tpcs_sm_l1tag_ctrl_always_cut_collector_enable_f(void)
{
	return 0x10000000U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control_sel0_r(void)
{
	return 0x00584200U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control_sel1_r(void)
{
	return 0x00584204U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control0_r(void)
{
	return 0x00584208U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control1_r(void)
{
	return 0x00584210U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control2_r(void)
{
	return 0x00584214U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control3_r(void)
{
	return 0x00584218U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control4_r(void)
{
	return 0x0058421cU;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter_control5_r(void)
{
	return 0x0058420cU;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter0_control_r(void)
{
	return 0x00584220U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter1_control_r(void)
{
	return 0x00584224U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter2_control_r(void)
{
	return 0x00584228U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter3_control_r(void)
{
	return 0x0058422cU;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter4_control_r(void)
{
	return 0x00584230U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter5_control_r(void)
{
	return 0x00584234U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter6_control_r(void)
{
	return 0x00584238U;
}
static inline u32 gr_egpc0_etpc0_sm_dsm_perf_counter7_control_r(void)
{
	return 0x0058423cU;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter_status_s0_r(void)
{
	return 0x00584600U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter_status_s1_r(void)
{
	return 0x00584604U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter0_s0_r(void)
{
	return 0x00584624U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter1_s0_r(void)
{
	return 0x00584628U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter2_s0_r(void)
{
	return 0x0058462cU;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter3_s0_r(void)
{
	return 0x00584630U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter0_s1_r(void)
{
	return 0x00584634U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter1_s1_r(void)
{
	return 0x00584638U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter2_s1_r(void)
{
	return 0x0058463cU;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter3_s1_r(void)
{
	return 0x00584640U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter0_s2_r(void)
{
	return 0x00584644U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter1_s2_r(void)
{
	return 0x00584648U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter2_s2_r(void)
{
	return 0x0058464cU;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter3_s2_r(void)
{
	return 0x00584650U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter0_s3_r(void)
{
	return 0x00584654U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter1_s3_r(void)
{
	return 0x00584658U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter2_s3_r(void)
{
	return 0x0058465cU;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter3_s3_r(void)
{
	return 0x00584660U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter4_r(void)
{
	return 0x00584614U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter5_r(void)
{
	return 0x00584618U;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter6_r(void)
{
	return 0x0058461cU;
}
static inline u32 gr_egpc0_etpc0_sm0_dsm_perf_counter7_r(void)
{
	return 0x00584620U;
}
static inline u32 gr_fe_pwr_mode_r(void)
{
	return 0x00404170U;
}
static inline u32 gr_fe_pwr_mode_mode_auto_f(void)
{
	return 0x0U;
}
static inline u32 gr_fe_pwr_mode_mode_force_on_f(void)
{
	return 0x2U;
}
static inline u32 gr_fe_pwr_mode_req_v(u32 r)
{
	return (r >> 4U) & 0x1U;
}
static inline u32 gr_fe_pwr_mode_req_send_f(void)
{
	return 0x10U;
}
static inline u32 gr_fe_pwr_mode_req_done_v(void)
{
	return 0x00000000U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_r(void)
{
	return 0x00418880U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_vm_pg_size_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_use_pdb_big_page_size_m(void)
{
	return 0x1U << 11U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_vol_fault_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_comp_fault_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_miss_gran_m(void)
{
	return 0x3U << 3U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_cache_mode_m(void)
{
	return 0x3U << 5U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_mmu_aperture_m(void)
{
	return 0x3U << 28U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_mmu_vol_m(void)
{
	return 0x1U << 30U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_mmu_disable_m(void)
{
	return 0x1U << 31U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_atomic_capability_mode_m(void)
{
	return 0x3U << 24U;
}
static inline u32 gr_gpcs_pri_mmu_ctrl_atomic_capability_sys_ncoh_mode_m(void)
{
	return 0x1U << 27U;
}
static inline u32 gr_gpcs_pri_mmu_pm_unit_mask_r(void)
{
	return 0x00418890U;
}
static inline u32 gr_gpcs_pri_mmu_pm_req_mask_r(void)
{
	return 0x00418894U;
}
static inline u32 gr_gpcs_pri_mmu_debug_ctrl_r(void)
{
	return 0x004188b0U;
}
static inline u32 gr_gpcs_pri_mmu_debug_ctrl_debug_v(u32 r)
{
	return (r >> 16U) & 0x1U;
}
static inline u32 gr_gpcs_pri_mmu_debug_ctrl_debug_enabled_v(void)
{
	return 0x00000001U;
}
static inline u32 gr_gpcs_pri_mmu_debug_wr_r(void)
{
	return 0x004188b4U;
}
static inline u32 gr_gpcs_pri_mmu_debug_rd_r(void)
{
	return 0x004188b8U;
}
static inline u32 gr_gpcs_mmu_num_active_ltcs_r(void)
{
	return 0x004188acU;
}
static inline u32 gr_gpcs_tpcs_sms_dbgr_control0_r(void)
{
	return 0x00419e84U;
}
static inline u32 gr_fe_gfxp_wfi_timeout_r(void)
{
	return 0x004041c0U;
}
static inline u32 gr_fe_gfxp_wfi_timeout_count_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_fe_gfxp_wfi_timeout_count_disabled_f(void)
{
	return 0x0U;
}
static inline u32 gr_fe_gfxp_wfi_timeout_count_init_f(void)
{
	return 0x800U;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_r(void)
{
	return 0x00419bd8U;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_oor_addr_check_mode_f(u32 v)
{
	return (v & 0x7U) << 8U;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_oor_addr_check_mode_m(void)
{
	return 0x7U << 8U;
}
static inline u32 gr_gpcs_tpcs_sm_texio_control_oor_addr_check_mode_arm_63_48_match_f(void)
{
	return 0x100U;
}
static inline u32 gr_gpcs_tpcs_sm_disp_ctrl_r(void)
{
	return 0x00419ba4U;
}
static inline u32 gr_gpcs_tpcs_sm_disp_ctrl_re_suppress_m(void)
{
	return 0x3U << 11U;
}
static inline u32 gr_gpcs_tpcs_sm_disp_ctrl_re_suppress_disable_f(void)
{
	return 0x1000U;
}
static inline u32 gr_gpcs_tc_debug0_r(void)
{
	return 0x00418708U;
}
static inline u32 gr_gpcs_tc_debug0_limit_coalesce_buffer_size_f(u32 v)
{
	return (v & 0x1ffU) << 0U;
}
static inline u32 gr_gpcs_tc_debug0_limit_coalesce_buffer_size_m(void)
{
	return 0x1ffU << 0U;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_r(void)
{
	return 0x00500324U;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_corrected_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_corrected_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_uncorrected_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_gpc0_mmu_gpcmmu_global_esr_ecc_uncorrected_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_r(void)
{
	return 0x00500314U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_sa_data_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_sa_data_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_fa_data_f(u32 v)
{
	return (v & 0x1U) << 2U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_l1tlb_fa_data_m(void)
{
	return 0x1U << 2U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_sa_data_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_sa_data_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_fa_data_f(u32 v)
{
	return (v & 0x1U) << 3U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_l1tlb_fa_data_m(void)
{
	return 0x1U << 3U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 18U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_total_counter_overflow_m(void)
{
	return 0x1U << 18U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_total_counter_overflow_m(void)
{
	return 0x1U << 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 19U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_uncorrected_err_unique_counter_overflow_m(void)
{
	return 0x1U << 19U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 17U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_corrected_err_unique_counter_overflow_m(void)
{
	return 0x1U << 17U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_reset_f(u32 v)
{
	return (v & 0x1U) << 30U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_status_reset_task_f(void)
{
	return 0x40000000U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_address_r(void)
{
	return 0x00500320U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_address_index_f(u32 v)
{
	return (v & 0xffffffffU) << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_r(void)
{
	return 0x00500318U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_m(void)
{
	return 0xffffU << 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_corrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16U) & 0xffffU;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_r(void)
{
	return 0x0050031cU;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_m(void)
{
	return 0xffffU << 16U;
}
static inline u32 gr_gpc0_mmu_l1tlb_ecc_uncorrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16U) & 0xffffU;
}
static inline u32 gr_gpc0_gpccs_hww_esr_r(void)
{
	return 0x00502c98U;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_corrected_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_corrected_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_corrected_pending_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_uncorrected_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_uncorrected_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpc0_gpccs_hww_esr_ecc_uncorrected_pending_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_r(void)
{
	return 0x00502678U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_imem_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_imem_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_imem_pending_f(void)
{
	return 0x1U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_dmem_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_dmem_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_dmem_pending_f(void)
{
	return 0x2U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_imem_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_imem_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_imem_pending_f(void)
{
	return 0x10U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_dmem_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_dmem_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_dmem_pending_f(void)
{
	return 0x20U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 10U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_total_counter_overflow_m(void)
{
	return 0x1U << 10U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_total_counter_overflow_pending_f(void)
{
	return 0x400U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 8U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_total_counter_overflow_m(void)
{
	return 0x1U << 8U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_total_counter_overflow_pending_f(void)
{
	return 0x100U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 11U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_m(void)
{
	return 0x1U << 11U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x800U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 9U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_unique_counter_overflow_m(void)
{
	return 0x1U << 9U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_corrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x200U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_reset_f(u32 v)
{
	return (v & 0x1U) << 31U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_status_reset_task_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_r(void)
{
	return 0x00502684U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_index_f(u32 v)
{
	return (v & 0x7fffffU) << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_s(void)
{
	return 20U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_f(u32 v)
{
	return (v & 0xfffffU) << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_m(void)
{
	return 0xfffffU << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_address_row_address_v(u32 r)
{
	return (r >> 0U) & 0xfffffU;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_r(void)
{
	return 0x0050267cU;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_m(void)
{
	return 0xffffU << 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_corrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16U) & 0xffffU;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_r(void)
{
	return 0x00502680U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_total_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_total_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_s(void)
{
	return 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_m(void)
{
	return 0xffffU << 16U;
}
static inline u32 gr_gpc0_gpccs_falcon_ecc_uncorrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16U) & 0xffffU;
}
static inline u32 gr_fecs_falcon_ecc_status_r(void)
{
	return 0x00409678U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_imem_f(u32 v)
{
	return (v & 0x1U) << 0U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_imem_m(void)
{
	return 0x1U << 0U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_imem_pending_f(void)
{
	return 0x1U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_dmem_f(u32 v)
{
	return (v & 0x1U) << 1U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_dmem_m(void)
{
	return 0x1U << 1U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_dmem_pending_f(void)
{
	return 0x2U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_imem_f(u32 v)
{
	return (v & 0x1U) << 4U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_imem_m(void)
{
	return 0x1U << 4U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_imem_pending_f(void)
{
	return 0x10U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_dmem_f(u32 v)
{
	return (v & 0x1U) << 5U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_dmem_m(void)
{
	return 0x1U << 5U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_dmem_pending_f(void)
{
	return 0x20U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 10U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_total_counter_overflow_m(void)
{
	return 0x1U << 10U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_total_counter_overflow_pending_f(void)
{
	return 0x400U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_total_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 8U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_total_counter_overflow_m(void)
{
	return 0x1U << 8U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_total_counter_overflow_pending_f(void)
{
	return 0x100U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 11U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_m(void)
{
	return 0x1U << 11U;
}
static inline u32 gr_fecs_falcon_ecc_status_uncorrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x800U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_unique_counter_overflow_f(u32 v)
{
	return (v & 0x1U) << 9U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_unique_counter_overflow_m(void)
{
	return 0x1U << 9U;
}
static inline u32 gr_fecs_falcon_ecc_status_corrected_err_unique_counter_overflow_pending_f(void)
{
	return 0x200U;
}
static inline u32 gr_fecs_falcon_ecc_status_reset_f(u32 v)
{
	return (v & 0x1U) << 31U;
}
static inline u32 gr_fecs_falcon_ecc_status_reset_task_f(void)
{
	return 0x80000000U;
}
static inline u32 gr_fecs_falcon_ecc_address_r(void)
{
	return 0x00409684U;
}
static inline u32 gr_fecs_falcon_ecc_address_index_f(u32 v)
{
	return (v & 0x7fffffU) << 0U;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_s(void)
{
	return 20U;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_f(u32 v)
{
	return (v & 0xfffffU) << 0U;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_m(void)
{
	return 0xfffffU << 0U;
}
static inline u32 gr_fecs_falcon_ecc_address_row_address_v(u32 r)
{
	return (r >> 0U) & 0xfffffU;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_r(void)
{
	return 0x0040967cU;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_s(void)
{
	return 16U;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_s(void)
{
	return 16U;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_m(void)
{
	return 0xffffU << 16U;
}
static inline u32 gr_fecs_falcon_ecc_corrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16U) & 0xffffU;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_r(void)
{
	return 0x00409680U;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_total_f(u32 v)
{
	return (v & 0xffffU) << 0U;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_total_m(void)
{
	return 0xffffU << 0U;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_total_v(u32 r)
{
	return (r >> 0U) & 0xffffU;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_s(void)
{
	return 16U;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_f(u32 v)
{
	return (v & 0xffffU) << 16U;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_m(void)
{
	return 0xffffU << 16U;
}
static inline u32 gr_fecs_falcon_ecc_uncorrected_err_count_unique_total_v(u32 r)
{
	return (r >> 16U) & 0xffffU;
}
static inline u32 gr_debug_2_r(void)
{
	return 0x00400088U;
}
static inline u32 gr_debug_2_gfxp_wfi_timeout_unit_m(void)
{
	return 0x1U << 27U;
}
static inline u32 gr_debug_2_gfxp_wfi_timeout_unit_usec_f(void)
{
	return 0x0U;
}
static inline u32 gr_debug_2_gfxp_wfi_timeout_unit_sysclk_f(void)
{
	return 0x8000000U;
}
#endif